create_clock -period 10.000 -name clk [get_ports clk] create_clock -period 5.0000 -name clk2x [get_ports clk2x]