diff --git a/dev/verilog/trilib/tri_128x168_1w_0.v b/dev/verilog/trilib/tri_128x168_1w_0.v index a81df61..13f585b 100755 --- a/dev/verilog/trilib/tri_128x168_1w_0.v +++ b/dev/verilog/trilib/tri_128x168_1w_0.v @@ -14,17 +14,17 @@ // necessary for implementation of the Work that are available from OpenPOWER // via the Power ISA End User License Agreement (EULA) are explicitly excluded // hereunder, and may be obtained from OpenPOWER under the terms and conditions -// of the EULA. +// of the EULA. // // Unless required by applicable law or agreed to in writing, the reference design // distributed under the License is distributed on an "AS IS" BASIS, WITHOUT // WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License // for the specific language governing permissions and limitations under the License. -// +// // Additional rights, including the ability to physically implement a softcore that // is compliant with the required sections of the Power ISA Specification, are // available at no cost under the terms of the OpenPOWER Power ISA EULA, which can be -// obtained (along with the Power ISA) here: https://openpowerfoundation.org. +// obtained (along with the Power ISA) here: https://openpowerfoundation.org. `timescale 1 ns / 1 ns @@ -184,7 +184,6 @@ module tri_128x168_1w_0( generate - begin assign tidn = 1'b0; if (addressbus_width < ramb_base_addr) @@ -244,7 +243,6 @@ module tri_128x168_1w_0( end //ax assign data_out[w * port_bitwidth:((w + 1) * port_bitwidth) - 1] = ramb_data_out[w][0:port_bitwidth - 1]; end //aw - end endgenerate assign abst_scan_out = abst_scan_in; diff --git a/dev/verilog/trilib/tri_256x144_8w_1r1w.v b/dev/verilog/trilib/tri_256x144_8w_1r1w.v index 983d987..61829b2 100755 --- a/dev/verilog/trilib/tri_256x144_8w_1r1w.v +++ b/dev/verilog/trilib/tri_256x144_8w_1r1w.v @@ -233,7 +233,7 @@ wire [0:scan_right] sov; (* analysis_not_referenced="true" *) wire unused; -generate begin +generate // Read/Write Port Address Generate assign ramb_rd_addr[11:15] = 5'b0; assign ramb_wr_addr[11:15] = 5'b0; @@ -385,7 +385,6 @@ generate begin assign repr_scan_out = 1'b0; assign bo_pc_failout = 4'h0; assign bo_pc_diagloop = 4'h0; -end endgenerate assign unused = |({ @@ -461,8 +460,8 @@ tri_rlmreg_p #(.WIDTH(ways), .INIT(0), .NEEDS_SRESET(1)) rd_act_reg( .dout(rd_act_q) ); -generate begin : wayReg - genvar way; +generate + //genvar way; for (way=0; way