diff --git a/dev/sim/coco/Makefile.icarus.smt2 b/dev/sim/coco/Makefile.smt2 similarity index 100% rename from dev/sim/coco/Makefile.icarus.smt2 rename to dev/sim/coco/Makefile.smt2 diff --git a/dev/sim/coco/Makefile.icarus b/dev/sim/coco/Makefile.st similarity index 98% rename from dev/sim/coco/Makefile.icarus rename to dev/sim/coco/Makefile.st index 3da686f..0ce3d4a 100755 --- a/dev/sim/coco/Makefile.icarus +++ b/dev/sim/coco/Makefile.st @@ -8,7 +8,7 @@ #COCOTB_RESOLVE_X = ZEROS # VALUE_ERROR ZEROS ONES RANDOM -#SIM_BUILD ?= build +SIM_BUILD ?= build_st SIM ?= icarus # options diff --git a/dev/sim/coco/build_st/cmds.f b/dev/sim/coco/build_st/cmds.f new file mode 100644 index 0000000..3e26e00 --- /dev/null +++ b/dev/sim/coco/build_st/cmds.f @@ -0,0 +1 @@ ++timescale+1ns/1ps diff --git a/dev/sim/coco/results.xml b/dev/sim/coco/results.xml old mode 100755 new mode 100644 index b6da424..a2ed940 --- a/dev/sim/coco/results.xml +++ b/dev/sim/coco/results.xml @@ -1,7 +1,7 @@ - - + +