diff --git a/dev/build/litex/a2o/verilog b/dev/build/litex/a2o/verilog index b628320..bf93570 120000 --- a/dev/build/litex/a2o/verilog +++ b/dev/build/litex/a2o/verilog @@ -1 +1 @@ -../../verilog \ No newline at end of file +../../../verilog \ No newline at end of file diff --git a/dev/build/litex/build/cmod7/gateware/.Xil/cmod7_propImpl.xdc b/dev/build/litex/build/cmod7/gateware/.Xil/cmod7_propImpl.xdc index 1bddcae..b6ce61d 100644 --- a/dev/build/litex/build/cmod7/gateware/.Xil/cmod7_propImpl.xdc +++ b/dev/build/litex/build/cmod7/gateware/.Xil/cmod7_propImpl.xdc @@ -1,4 +1,4 @@ -set_property SRC_FILE_INFO {cfile:/data/projects/a2o/dev/build/litex/build/cmod7/gateware/cmod7.xdc rfile:../cmod7.xdc id:1 order:EARLY} [current_design] +set_property SRC_FILE_INFO {cfile:/afs/apd.pok.ibm.com/projects/eb2020/github-opf/a2o/dev/build/litex/build/cmod7/gateware/cmod7.xdc rfile:../cmod7.xdc id:1 order:EARLY} [current_design] set_property src_info {type:XDC file:1 line:5 export:INPUT save:INPUT read:READ} [current_design] set_property LOC J18 [get_ports {serial_tx}] set_property src_info {type:XDC file:1 line:9 export:INPUT save:INPUT read:READ} [current_design] diff --git a/dev/build/litex/build/cmod7/gateware/cmod7.cache/wt/project.wpc b/dev/build/litex/build/cmod7/gateware/cmod7.cache/wt/project.wpc index 834da22..7e9af81 100644 --- a/dev/build/litex/build/cmod7/gateware/cmod7.cache/wt/project.wpc +++ b/dev/build/litex/build/cmod7/gateware/cmod7.cache/wt/project.wpc @@ -1,3 +1,3 @@ version:1 -6d6f64655f636f756e7465727c42617463684d6f6465:1 +6d6f64655f636f756e7465727c54434c4d6f6465:1 eof: diff --git a/dev/build/litex/build/cmod7/gateware/cmod7.cache/wt/synthesis.wdf b/dev/build/litex/build/cmod7/gateware/cmod7.cache/wt/synthesis.wdf index d96c270..0735986 100644 --- a/dev/build/litex/build/cmod7/gateware/cmod7.cache/wt/synthesis.wdf +++ b/dev/build/litex/build/cmod7/gateware/cmod7.cache/wt/synthesis.wdf @@ -1,5 +1,5 @@ version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761323030747362673438342d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:7863376b343130746666763637362d31:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:636d6f6437:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 @@ -11,11 +11,9 @@ version:1 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c74:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 @@ -36,7 +34,7 @@ version:1 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a32393a353873:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:353635382e3230374d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:333337362e3935334d42:00:00 -eof:4023463222 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a32333a323773:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:353932312e3035314d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:333733382e3335324d42:00:00 +eof:4098139366 diff --git a/dev/build/litex/build/cmod7/gateware/cmod7.hw/cmod7.lpr b/dev/build/litex/build/cmod7/gateware/cmod7.hw/cmod7.lpr index fd04c85..5709916 100644 --- a/dev/build/litex/build/cmod7/gateware/cmod7.hw/cmod7.lpr +++ b/dev/build/litex/build/cmod7/gateware/cmod7.hw/cmod7.lpr @@ -1,5 +1,5 @@ - + diff --git a/dev/build/litex/build/cmod7/gateware/cmod7.tcl b/dev/build/litex/build/cmod7/gateware/cmod7.tcl index 60f6032..fa65172 100644 --- a/dev/build/litex/build/cmod7/gateware/cmod7.tcl +++ b/dev/build/litex/build/cmod7/gateware/cmod7.tcl @@ -6,11 +6,11 @@ set_msg_config -id {Common 17-55} -new_severity {Warning} # Add Sources -add_files {/data/projects/a2o/dev/build/litex/a2o/verilog/a2o_litex} -add_files {/data/projects/a2o/dev/build/litex/a2o/verilog/trilib} -add_files {/data/projects/a2o/dev/build/litex/a2o/verilog/trilib_clk1x} -add_files {/data/projects/a2o/dev/build/litex/a2o/verilog/work} -read_verilog {/data/projects/a2o/dev/build/litex/build/cmod7/gateware/cmod7.v} +add_files {../../../a2o/verilog/a2o_litex} +add_files {../../../a2o/verilog/trilib} +add_files {../../../a2o/verilog/trilib_clk1x} +add_files {../../../a2o/verilog/work} +read_verilog {../../../build/cmod7/gateware/cmod7.v} # Add EDIFs @@ -28,7 +28,7 @@ set_property PROCESSING_ORDER EARLY [get_files cmod7.xdc] # Synthesis -synth_design -directive default -top cmod7 -part xc7a200t-SBG484-1 +synth_design -directive default -top cmod7 -part xc7k325t-ffv676-1 ;* xc7a200t-SBG484-1 # Synthesis report @@ -78,4 +78,4 @@ write_bitstream -force cmod7.bit # End -quit \ No newline at end of file +quit diff --git a/dev/build/litex/build/cmod7/gateware/cmod7.xpr b/dev/build/litex/build/cmod7/gateware/cmod7.xpr index 07333aa..e339b29 100644 --- a/dev/build/litex/build/cmod7/gateware/cmod7.xpr +++ b/dev/build/litex/build/cmod7/gateware/cmod7.xpr @@ -1,13 +1,13 @@ - + - + - - + @@ -92,722 +85,722 @@ - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - - + - + - + - + - + - + - + + - + - + - + - + - + - @@ -818,1385 +811,1385 @@ - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -2215,7 +2208,7 @@ - + @@ -2228,7 +2221,7 @@ - + - +