diff --git a/dev/src/test3/arcitst.lst b/dev/src/test3/arcitst.lst index beae667..5a8a98d 100644 --- a/dev/src/test3/arcitst.lst +++ b/dev/src/test3/arcitst.lst @@ -327,9 +327,9 @@ 159 022c 8063000C lwz r3,(init_r3-tst_inits)(r3) 160 161 jmp2tst: - 162 #rfi + 162 0230 4C000064 rfi 163 #rfid - 164 0230 48010002 ba 0x10000 + 164 #ba 0x10000 165 166 tst_end: 167 0234 4800000C b save_results @@ -394,7 +394,7 @@ 225 0304 3C600867 lis r3,MAGIC@h 226 0308 60635309 ori r3,r3,MAGIC@l 227 - 228 030c 48000000 b tst_done + 228 030c 48000003 bla tst_done 229 230 # ------------------------------------------------------------------------------------------------- 231 0310 60000000 .align 5 diff --git a/dev/src/test3/arcitst.o b/dev/src/test3/arcitst.o index 66b313c..5f0c34a 100644 Binary files a/dev/src/test3/arcitst.o and b/dev/src/test3/arcitst.o differ diff --git a/dev/src/test3/arcitst.s b/dev/src/test3/arcitst.s index 186dae5..0908b12 100755 --- a/dev/src/test3/arcitst.s +++ b/dev/src/test3/arcitst.s @@ -159,9 +159,9 @@ init_regs: lwz r3,(init_r3-tst_inits)(r3) jmp2tst: - #rfi + rfi #rfid - ba 0x10000 + #ba 0x10000 tst_end: b save_results @@ -225,7 +225,7 @@ tst_cleanup: lis r3,MAGIC@h ori r3,r3,MAGIC@l - b tst_done + bla tst_done # ------------------------------------------------------------------------------------------------- .align 5 diff --git a/dev/src/test3/bios.c b/dev/src/test3/bios.c index 16e0236..2dc6be3 100644 --- a/dev/src/test3/bios.c +++ b/dev/src/test3/bios.c @@ -1,22 +1,57 @@ #include +#include #include "bios.h" -// arci stuff1 +#ifdef PRINTF +#include "liblitex.h" + +//static char printbuf[1000]; // make this a pointer with address a define +//const char* printbuf = (char *)0x12000; +#ifndef PRINTBUF +#define PRINTBUF 0x0001C000 +#endif +//static int printbuf_ptr = 0; +static char *printbuf_ptr = (char *)PRINTBUF; +void putchar_handler(char c) { + //printbuf[printbuf_ptr++] = c; + //*(printbuf + printbuf_ptr++) = c; + *printbuf_ptr++ = c; +} +#endif + +// arci stuff +//void tst_done(unsigned int rc); void tst_done(unsigned int rc); -unsigned int checkResult(unsigned int r, char* name); -// shouldn't need any of these if i use the .o from bios build??? -//#include "generated/soc.h" + +// in kernel (for constant locs) +extern void tst_pass(void); +extern void tst_fail(int i); +/* +void tst_pass(void); +void tst_fail(int i); + +void tst_fail(int i) { + while(1) {} +} + +void tst_pass(void) { + while(1) {} +} +*/ + +//inline unsigned int checkResult(unsigned int r) __attribute__((always_inline)); +unsigned int checkResult(unsigned int r); + extern unsigned int tst_start; extern unsigned int tst_end; extern unsigned int tst_inits; extern unsigned int tst_results; extern unsigned int tst_expects; - int main(int tid) { int *p; - int *fdata = _fdata; + int *fdata = &_fdata; unsigned int *inits = &tst_inits; if (tid != 0) { @@ -26,14 +61,23 @@ int main(int tid) { // r/w memory init // copy - for (p = _fdata_rom; p < _edata_rom; p++){ + for (p = &_fdata_rom; p < &_edata_rom; p++){ *(fdata++) = *p; } // zero - for (p = _fbss; p < _ebss; p++) { - *_fbss = 0; + for (p = &_fbss; p < &_ebss; p++) { + *(p++) = 0; } +#ifdef PRINTF + console_set_write_hook(putchar_handler); + putchar('w'); + putchar('t'); + putchar('f'); + putchar('\n'); + printf("main(%i)\n", tid); +#endif + // core init set_epcr(0x03000000); // icm=gicm=1 set_dec(0); @@ -63,50 +107,58 @@ int main(int tid) { ); while(1) {} - return 0; } #define MAGIC 0x08675309 -//void __attribute__((noreturn)) tst_done(unsigned int rc) { +// r1 has been restored to where it was for 'b init_tst' above void tst_done(unsigned int rc) { - unsigned int i, ok = 1, done = 0; - /* - char c; - char name[10]; - unsigned int r0, r1, r2, r3, r4, r5, r6, r7, r8, r9, r10, r11, r12, r13, r14, r15; - unsigned int cr, xer, ctr, lr, tar; - unsigned int op, *cia; - */ + unsigned int i, ok = 1; + unsigned int act, exp; if (rc != MAGIC) { - ok = 0; + tst_fail(0x80000000); } - // ops - - // cr, xer, ctr, lr, tar - ok = ok && checkResult(32, "CR"); - ok = ok && checkResult(33, "XER"); - ok = ok && checkResult(34, "CTR"); - ok = ok && checkResult(35, "LR"); - ok = ok && checkResult(36, "TAR"); -} - -unsigned int checkResult(unsigned int r, char* name) { - unsigned int init, act, exp, ok = 1; + // check GPR & CR + for (i = 0; i < 32; i++) { + act = *(&tst_results + i); + exp = *(&tst_expects + i); + ok = ok && (act == exp); + if (!ok) { + tst_fail(i); + } + } - init = *(&tst_inits + r); - act = *(&tst_results + r); - exp = *(&tst_expects + r); + // check XER - a2o not compliant right now (only so/ov/ca/len) + i = 33; + act = *(&tst_results + i); + exp = *(&tst_expects + i) & 0xE000007F; + ok = ok && (act == exp); + if (!ok) { + tst_fail(i); + } - ok = act != exp; + // check CLT - skip tar, a2o doesn't have usermode sprg to use for save reg, so using tar + //for (i = 34; i < 37; i++) { + for (i = 34; i < 36; i++) { + act = *(&tst_results + i); + exp = *(&tst_expects + i); + ok = ok && (act == exp); + if (!ok) { + tst_fail(i); + } + } - return ok; + // could get back to kernel + tst_pass(); + while (1) {} } -// these are branched to! +// these are branched to! but if fun, they assume r1 is stack!!!! + +// tst is ended with sc to return to priv mode; then save results void int_sc(int code, int srr0) { asm ( "b tst_end\n" @@ -118,4 +170,5 @@ void int_sc(int code, int srr0) { void int_unhandled(void) { while(1) {} -} \ No newline at end of file +} + diff --git a/dev/src/test3/bios.h b/dev/src/test3/bios.h index cafecb5..1848575 100644 --- a/dev/src/test3/bios.h +++ b/dev/src/test3/bios.h @@ -1,12 +1,16 @@ -#ifndef BIOS_H +#ifndef _BIOS_H_ +#define _BIOS_H_ -#define BIOS_H +// need address for linker constants! +extern int _fdata_rom; +extern int _edata_rom; +extern int _fdata; +extern int _fbss; +extern int _ebss; + +// printf to mem +void putchar_handler(char c); -extern int *_fdata_rom; -extern int *_edata_rom; -extern int *_fdata; -extern int *_fbss; -extern int *_ebss; void int_sc(int code, int srr0); void int_unhandled(void); diff --git a/dev/src/test3/bios.o b/dev/src/test3/bios.o index 545eec3..dc2faf0 100644 Binary files a/dev/src/test3/bios.o and b/dev/src/test3/bios.o differ diff --git a/dev/src/test3/boot.s b/dev/src/test3/boot.s index f565041..30345ce 100755 --- a/dev/src/test3/boot.s +++ b/dev/src/test3/boot.s @@ -39,7 +39,8 @@ .set BIOS_MSR,0x8002B000 .endif - # erat w2 (test) # word 2 wlc=40:41 rsvd=42 u=44:47 r=48 c=49 wimge=52:56 vf=57 ux/sx=58:59 uw/sw=60:61 ur/sr=62:63 +#wtf this should to be done in bios based on the tst +# erat w2 (test) # word 2 wlc=40:41 rsvd=42 u=44:47 r=48 c=49 wimge=52:56 vf=57 ux/sx=58:59 uw/sw=60:61 ur/sr=62:63 .ifdef BIOS_LE .set BIOS_ERATW2,0x000000BF .else @@ -55,6 +56,7 @@ .set BIOS_STACK_1,_stack_1 .endif +#wtf get rid of this and just make the low 1G a single erat entry - it can be fixed up by bios later .ifndef BIOS_START .set BIOS_START,0x00010000 .endif @@ -69,7 +71,8 @@ int_000: b boot_start .ifdef TST_END - b tst_end +# tst ends with ba here, which switches to priv and jumps to tst_end + sc .endif # critical input @@ -119,6 +122,10 @@ int_100: # sc .org 0x120 int_120: +.ifdef TST_END +# tst results haven't been saved yet; if want to call bios, need to save r1, then restore or set stack + b tst_end +.else .ifdef INT_SC # lev is in 20:26, but supposed to use scv now li r3,0 @@ -131,6 +138,7 @@ int_120: b . .endif .endif +.endif # apu unavailable .org 0x140 @@ -336,6 +344,19 @@ boot_complete: # ------------------------------------------------------------------------------------------------------------------------------ +.ifdef TST_PASSFAIL +.global tst_pass +.global tst_fail + +.org 0x7F0 +tst_pass: + b . + +.org 0x7F4 +tst_fail: + b . +.endif + .org 0x7FC kernel_return: b . diff --git a/dev/src/test3/build b/dev/src/test3/build index 9287e3b..8fecfbe 100755 --- a/dev/src/test3/build +++ b/dev/src/test3/build @@ -3,6 +3,12 @@ export COMMONFLAGS="-ffreestanding -fomit-frame-pointer -Wall -fno-stack-protector" export CFLAGS="$COMMONFLAGS -fexceptions -Wstrict-prototypes -Wold-style-definition -Wmissing-prototypes" +#LITEXLIB= +#PRINTF= +LITEXLIB="libc.o vsnprintf.o console.o" +# getting dtlb in vsnprintf +PRINTF="-DPRINTF" + # defines ## define vars to init rom with csr's it uses... @@ -43,7 +49,7 @@ echo -n "Compiling..." echo -n "boot.s " #powerpc-linux-gnu-as -mbig-endian -ma2 -defsym INT_SC=1 -defsym INT_UNHANDLED=1 -I. boot.s -ahlnd -o crt0.o > crt0.lst -powerpc-linux-gnu-as -mbig-endian -ma2 -defsym TST_END=1 -defsym INT_UNHANDLED=1 -I. boot.s -ahlnd -o crt0.o > crt0.lst +powerpc-linux-gnu-as -mbig-endian -ma2 -defsym TST_END=1 -defsym INT_UNHANDLED=1 -defsym TST_PASSFAIL=1 -I. boot.s -ahlnd -o crt0.o > crt0.lst if [ $? -ne 0 ]; then exit @@ -58,11 +64,14 @@ fi echo "" echo -n "bios.c " -powerpc-linux-gnu-gcc -c -I. $CFLAGS bios.c +powerpc-linux-gnu-gcc $PRINTF -c -I. $CFLAGS bios.c +if [ $? -ne 0 ]; then + exit +fi echo "" echo "Linking..." -powerpc-linux-gnu-ld -nostdlib -nodefaultlibs -T linker.ld crt0.o bios.o arcitst.o -o rom +powerpc-linux-gnu-ld -nostdlib -nodefaultlibs -T linker.ld crt0.o bios.o arcitst.o $LITEXLIB -o rom if [ $? -ne 0 ]; then exit fi diff --git a/dev/src/test3/build_litex_base b/dev/src/test3/build_litex_base new file mode 100755 index 0000000..fdd2579 --- /dev/null +++ b/dev/src/test3/build_litex_base @@ -0,0 +1,43 @@ +#!/usr/bin/bash + +export COMMONFLAGS="-ffreestanding -fomit-frame-pointer -Wall -fno-stack-protector" +export CFLAGS="$COMMONFLAGS -fexceptions -Wstrict-prototypes -Wold-style-definition -Wmissing-prototypes" + +echo -n "Compiling..." + +echo "" + +echo -n "libc.c " +powerpc-linux-gnu-gcc -c -Ilitex/include/base -Ilitex/include/flibm $CFLAGS -DNO_FLOAT litex/libbase/libc.c +if [ $? -ne 0 ]; then + exit +fi + +echo -n "vsnprintf.c " +# NO_FLOAT gets rid of +#snprintf.c:(.text+0x800): undefined reference to `log10' +#powerpc-linux-gnu-ld: vsnprintf.c:(.text+0x80c): undefined reference to `floor' +#powerpc-linux-gnu-ld: vsnprintf.c:(.text+0x824): undefined reference to `pow' +#powerpc-linux-gnu-ld: vsnprintf.c:(.text+0x898): undefined reference to `fmod' +#powerpc-linux-gnu-ld: vsnprintf.c:(.text+0x950): undefined reference to `fmod' +powerpc-linux-gnu-gcc -c -Ilitex/include/base -Ilitex/include/flibm $CFLAGS -DNO_FLOAT litex/libbase/vsnprintf.c +if [ $? -ne 0 ]; then + exit +fi + +echo -n "console.c " +# use hacked console.c to printf to mem instead of uart - use console_set_write_hook(console_write_hook putchar_handler)) to print to buffer +#powerpc-linux-gnu-gcc -c -Ilitex/include/base -Ilitex/include/flibm $CFLAGS litex/libbase/console.c +powerpc-linux-gnu-gcc -nostdlib -nodefaultlibs -c -Ilitex/include/base -Ilitex/include/flibm $CFLAGS console.c + +if [ $? -ne 0 ]; then + exit +fi + +echo "" + +#echo "Linking to test dependencies..." +#powerpc-linux-gnu-ld -nostdlib -nodefaultlibs libc.o vsnprintf.o console.o -o litexlib.o +#if [ $? -ne 0 ]; then +# exit +#fi diff --git a/dev/src/test3/console.c b/dev/src/test3/console.c new file mode 100755 index 0000000..c0ddc6d --- /dev/null +++ b/dev/src/test3/console.c @@ -0,0 +1,113 @@ +// use console_set_write_hook(func) to do putchar() to mem + +//#include +#include +#include +#include + +//#include + +FILE *stdin, *stdout, *stderr; + +static console_write_hook write_hook; +static console_read_hook read_hook; +static console_read_nonblock_hook read_nonblock_hook; + +void console_set_write_hook(console_write_hook h) +{ + write_hook = h; +} + +void console_set_read_hook(console_read_hook r, console_read_nonblock_hook rn) +{ + read_hook = r; + read_nonblock_hook = rn; +} + +#ifdef CSR_UART_BASE +int putchar(int c) +{ + uart_write(c); + if(write_hook != NULL) + write_hook(c); + if (c == '\n') + putchar('\r'); + return c; +} + +char readchar(void) +{ + while(1) { + if(uart_read_nonblock()) + return uart_read(); + if((read_nonblock_hook != NULL) && read_nonblock_hook()) + return read_hook(); + } +} + +int readchar_nonblock(void) +{ + return (uart_read_nonblock() + || ((read_nonblock_hook != NULL) && read_nonblock_hook())); +} + +#else + +int putchar(int c) +{ + if(write_hook != NULL) + write_hook(c); + return c; +} + +char readchar(void) +{ + while(1) { + if((read_nonblock_hook != NULL) && read_nonblock_hook()) + return read_hook(); + } +} + +int readchar_nonblock(void) +{ + return ((read_nonblock_hook != NULL) && read_nonblock_hook()); +} + +#endif + +int puts(const char *s) +{ + putsnonl(s); + putchar('\n'); + return 1; +} + +void putsnonl(const char *s) +{ + while(*s) { + putchar(*s); + s++; + } +} + +#define PRINTF_BUFFER_SIZE 256 + +int vprintf(const char *fmt, va_list args) +{ + int len; + char outbuf[PRINTF_BUFFER_SIZE]; + len = vscnprintf(outbuf, sizeof(outbuf), fmt, args); + outbuf[len] = 0; + putsnonl(outbuf); + return len; +} + +int printf(const char *fmt, ...) +{ + int len; + va_list args; + va_start(args, fmt); + len = vprintf(fmt, args); + va_end(args); + return len; +} diff --git a/dev/src/test3/crt0.lst b/dev/src/test3/crt0.lst index 2742db2..6b71463 100644 --- a/dev/src/test3/crt0.lst +++ b/dev/src/test3/crt0.lst @@ -189,452 +189,473 @@ 39 .set BIOS_MSR,0x8002B000 40 .endif 41 - 42 # erat w2 (test) # word 2 wlc=40:41 rsvd=42 u=44:47 r=48 c=49 wimge=52:56 vf=57 ux/sx=58:59 uw/s - 43 .ifdef BIOS_LE - 44 .set BIOS_ERATW2,0x000000BF - 45 .else - 46 .set BIOS_ERATW2,0x0000003F - 47 .endif - 48 - 49 # bios might be able to use one stack during thread startup if careful - 50 .ifndef BIOS_STACK_0 - 51 .set BIOS_STACK_0,_stack_0 - 52 .endif - 53 - 54 .ifndef BIOS_STACK_1 - 55 .set BIOS_STACK_1,_stack_1 - 56 .endif - 57 - 58 .ifndef BIOS_START - 59 .set BIOS_START,0x00010000 - 60 .endif - 61 - 62 .section .text + 42 #wtf this should to be done in bios based on the tst + 43 # erat w2 (test) # word 2 wlc=40:41 rsvd=42 u=44:47 r=48 c=49 wimge=52:56 vf=57 ux/sx=58:59 uw/sw + 44 .ifdef BIOS_LE + 45 .set BIOS_ERATW2,0x000000BF + 46 .else + 47 .set BIOS_ERATW2,0x0000003F + 48 .endif + 49 + 50 # bios might be able to use one stack during thread startup if careful + 51 .ifndef BIOS_STACK_0 + 52 .set BIOS_STACK_0,_stack_0 + 53 .endif + 54 + 55 .ifndef BIOS_STACK_1 + 56 .set BIOS_STACK_1,_stack_1 + 57 .endif + 58 + 59 #wtf get rid of this and just make the low 1G a single erat entry - it can be fixed up by bios late + 60 .ifndef BIOS_START + 61 .set BIOS_START,0x00010000 + 62 .endif 63 - 64 .global _start + 64 .section .text 65 - 66 .org 0x000 - 67 _start: - 68 int_000: - 69 0000 48000400 b boot_start - 70 - 71 .ifdef TST_END - 72 0004 48000000 b tst_end - 73 .endif - 74 - 75 # critical input - 76 0008 00000000 .org 0x020 - 76 00000000 - 76 00000000 - 76 00000000 - 76 00000000 - 77 int_020: - 78 .ifdef INT_UNHANDLED - 79 0020 48000000 b int_unhandled - 80 .else - 81 b . - 82 .endif - 83 - 84 # debug - 85 0024 00000000 .org 0x040 - 85 00000000 - 85 00000000 - 85 00000000 - 85 00000000 - 86 int_040: - 87 0040 48000000 b . - 88 - 89 # dsi - 90 0044 00000000 .org 0x060 - 90 00000000 - 90 00000000 - 90 00000000 - 90 00000000 - 91 int_060: - 92 0060 48000000 b . - 93 - 94 # isi - 95 0064 00000000 .org 0x080 - 95 00000000 - 95 00000000 - 95 00000000 - 95 00000000 - 96 int_080: - 97 0080 48000000 b . - 98 - 99 # external - 100 0084 00000000 .org 0x0A0 - 100 00000000 - 100 00000000 - 100 00000000 - 100 00000000 - 101 int_0A0: - 102 00a0 48000000 b . - 103 - 104 # alignment - 105 00a4 00000000 .org 0x0C0 - 105 00000000 - 105 00000000 - 105 00000000 - 105 00000000 - 106 int_0C0: - 107 00c0 48000000 b . - 108 - 109 # program - 110 00c4 00000000 .org 0x0E0 - 110 00000000 - 110 00000000 - 110 00000000 - 110 00000000 - 111 int_0E0: - 112 00e0 48000000 b . - 113 - 114 # fp unavailable - 115 00e4 00000000 .org 0x100 - 115 00000000 - 115 00000000 - 115 00000000 - 115 00000000 - 116 int_100: - 117 0100 48000000 b . - 118 - 119 # sc - 120 0104 00000000 .org 0x120 - 120 00000000 - 120 00000000 - 120 00000000 - 120 00000000 - 121 int_120: - 122 .ifdef INT_SC - 123 # lev is in 20:26, but supposed to use scv now - 124 li r3,0 - 125 mfsrr0 r4 - 126 b int_sc - 127 .else - 128 .ifdef INT_UNHANDLED - 129 0120 48000000 b int_unhandled - 130 .else - 131 b . - 132 .endif - 133 .endif - 134 - 135 # apu unavailable - 136 0124 00000000 .org 0x140 - 136 00000000 - 136 00000000 - 136 00000000 - 136 00000000 - 137 int_140: - 138 0140 48000000 b . - 139 - 140 # decrementer - 141 0144 00000000 .org 0x160 - 141 00000000 - 141 00000000 - 141 00000000 - 141 00000000 - 142 int_160: - 143 0160 48000000 b . - 144 - 145 # fit - 146 0164 00000000 .org 0x180 - 146 00000000 - 146 00000000 - 146 00000000 - 146 00000000 - 147 int_180: - 148 0180 48000000 b . - 149 - 150 # watchdog - 151 0184 00000000 .org 0x1A0 - 151 00000000 - 151 00000000 - 151 00000000 - 151 00000000 - 152 int_1A0: - 153 01a0 48000000 b . - 154 - 155 # dtlb - 156 01a4 00000000 .org 0x1C0 - 156 00000000 - 156 00000000 - 156 00000000 - 156 00000000 - 157 int_1C0: - 158 01c0 48000000 b . - 159 - 160 # itlb - 161 01c4 00000000 .org 0x1E0 - 161 00000000 - 161 00000000 - 161 00000000 - 161 00000000 - 162 int_1E0: - 163 01e0 48000000 b . - 164 - 165 # vector unavailable - 166 01e4 00000000 .org 0x200 - 166 00000000 - 166 00000000 - 166 00000000 - 166 00000000 - 167 int_200: - 168 0200 48000000 b . - 169 - 170 # - 171 0204 00000000 .org 0x220 - 171 00000000 - 171 00000000 - 171 00000000 - 171 00000000 - 172 int_220: - 173 0220 48000000 b . - 174 - 175 # - 176 0224 00000000 .org 0x240 - 176 00000000 - 176 00000000 - 176 00000000 - 176 00000000 - 177 int_240: - 178 0240 48000000 b . - 179 - 180 # - 181 0244 00000000 .org 0x260 - 181 00000000 - 181 00000000 - 181 00000000 - 181 00000000 - 182 int_260: - 183 0260 48000000 b . - 184 - 185 # doorbell - 186 0264 00000000 .org 0x280 - 186 00000000 - 186 00000000 - 186 00000000 - 186 00000000 - 187 int_280: - 188 0280 48000000 b . - 189 - 190 # doorbell critical - 191 0284 00000000 .org 0x2A0 - 191 00000000 - 191 00000000 - 191 00000000 - 191 00000000 - 192 int_2A0: - 193 02a0 48000000 b . - 194 - 195 # doorbell guest - 196 02a4 00000000 .org 0x2C0 - 196 00000000 - 196 00000000 - 196 00000000 - 196 00000000 - 197 int_2C0: - 198 02c0 48000000 b . - 199 - 200 # doorbell guest critical - 201 02c4 00000000 .org 0x2E0 - 201 00000000 - 201 00000000 - 201 00000000 - 201 00000000 - 202 int_2E0: - 203 02e0 48000000 b . - 204 - 205 # hvsc - 206 02e4 00000000 .org 0x300 - 206 00000000 - 206 00000000 - 206 00000000 - 206 00000000 - 207 int_300: - 208 0300 48000000 b . - 209 - 210 # hvpriv - 211 0304 00000000 .org 0x320 - 211 00000000 - 211 00000000 - 211 00000000 - 211 00000000 - 212 int_320: - 213 0320 48000000 b . - 214 - 215 # lrat - 216 0324 00000000 .org 0x340 - 216 00000000 - 216 00000000 - 216 00000000 - 216 00000000 - 217 int_340: - 218 0340 48000000 b . - 219 - 220 # ------------------------------------------------------------------------------------------------- - 221 # initial translation - 222 # both erats: - 223 # 00000000 64K: (rom, BE) - 224 # 00010000 64K: (ram, BE or LE) - 225 # - 226 0344 00000000 .org 0x400 - 226 00000000 - 226 00000000 - 226 00000000 - 226 00000000 - 227 boot_start: - 228 - 229 0400 7CBE6AA6 mfspr r5,tir # who am i? - 230 0404 2C250000 cmpdi r5,0x00 # skip unless T0 - 231 0408 408200E0 bne init_t123 - 232 - 233 040c 3C608C00 lis r3,0x8C00 # 32=ecl 36:37=tlbsel (10=i, 11=d) - 234 - 235 # derat 31 @00000000 - 236 0410 3800001F li r0,0x001F # entry #31 - 237 0414 38400015 li r2,0x0015 # word 2 wlc=40:41 rsvd=42 u=44:47 r=48 c=49 wimge=52:56 vf=57 ux/ - 238 0418 38800000 li r4,0 # word 1 rpn(32:51)=32:51 rpn(22:31)=54:63 - 239 041c 3900023F li r8,0x023F # word 0 epn=32:51 class=52:53 v=54 x=55 size=56:59 thrd=60:63 s + 66 .global _start + 67 + 68 .org 0x000 + 69 _start: + 70 int_000: + 71 0000 48000400 b boot_start + 72 + 73 .ifdef TST_END + 74 # tst ends with ba here, which switches to priv and jumps to tst_end + 75 0004 44000002 sc + 76 .endif + 77 + 78 # critical input + 79 0008 00000000 .org 0x020 + 79 00000000 + 79 00000000 + 79 00000000 + 79 00000000 + 80 int_020: + 81 .ifdef INT_UNHANDLED + 82 0020 48000000 b int_unhandled + 83 .else + 84 b . + 85 .endif + 86 + 87 # debug + 88 0024 00000000 .org 0x040 + 88 00000000 + 88 00000000 + 88 00000000 + 88 00000000 + 89 int_040: + 90 0040 48000000 b . + 91 + 92 # dsi + 93 0044 00000000 .org 0x060 + 93 00000000 + 93 00000000 + 93 00000000 + 93 00000000 + 94 int_060: + 95 0060 48000000 b . + 96 + 97 # isi + 98 0064 00000000 .org 0x080 + 98 00000000 + 98 00000000 + 98 00000000 + 98 00000000 + 99 int_080: + 100 0080 48000000 b . + 101 + 102 # external + 103 0084 00000000 .org 0x0A0 + 103 00000000 + 103 00000000 + 103 00000000 + 103 00000000 + 104 int_0A0: + 105 00a0 48000000 b . + 106 + 107 # alignment + 108 00a4 00000000 .org 0x0C0 + 108 00000000 + 108 00000000 + 108 00000000 + 108 00000000 + 109 int_0C0: + 110 00c0 48000000 b . + 111 + 112 # program + 113 00c4 00000000 .org 0x0E0 + 113 00000000 + 113 00000000 + 113 00000000 + 113 00000000 + 114 int_0E0: + 115 00e0 48000000 b . + 116 + 117 # fp unavailable + 118 00e4 00000000 .org 0x100 + 118 00000000 + 118 00000000 + 118 00000000 + 118 00000000 + 119 int_100: + 120 0100 48000000 b . + 121 + 122 # sc + 123 0104 00000000 .org 0x120 + 123 00000000 + 123 00000000 + 123 00000000 + 123 00000000 + 124 int_120: + 125 .ifdef TST_END + 126 # tst results haven't been saved yet; if want to call bios, need to save r1, then restore or set st + 127 0120 48000000 b tst_end + 128 .else + 129 .ifdef INT_SC + 130 # lev is in 20:26, but supposed to use scv now + 131 li r3,0 + 132 mfsrr0 r4 + 133 b int_sc + 134 .else + 135 .ifdef INT_UNHANDLED + 136 b int_unhandled + 137 .else + 138 b . + 139 .endif + 140 .endif + 141 .endif + 142 + 143 # apu unavailable + 144 0124 00000000 .org 0x140 + 144 00000000 + 144 00000000 + 144 00000000 + 144 00000000 + 145 int_140: + 146 0140 48000000 b . + 147 + 148 # decrementer + 149 0144 00000000 .org 0x160 + 149 00000000 + 149 00000000 + 149 00000000 + 149 00000000 + 150 int_160: + 151 0160 48000000 b . + 152 + 153 # fit + 154 0164 00000000 .org 0x180 + 154 00000000 + 154 00000000 + 154 00000000 + 154 00000000 + 155 int_180: + 156 0180 48000000 b . + 157 + 158 # watchdog + 159 0184 00000000 .org 0x1A0 + 159 00000000 + 159 00000000 + 159 00000000 + 159 00000000 + 160 int_1A0: + 161 01a0 48000000 b . + 162 + 163 # dtlb + 164 01a4 00000000 .org 0x1C0 + 164 00000000 + 164 00000000 + 164 00000000 + 164 00000000 + 165 int_1C0: + 166 01c0 48000000 b . + 167 + 168 # itlb + 169 01c4 00000000 .org 0x1E0 + 169 00000000 + 169 00000000 + 169 00000000 + 169 00000000 + 170 int_1E0: + 171 01e0 48000000 b . + 172 + 173 # vector unavailable + 174 01e4 00000000 .org 0x200 + 174 00000000 + 174 00000000 + 174 00000000 + 174 00000000 + 175 int_200: + 176 0200 48000000 b . + 177 + 178 # + 179 0204 00000000 .org 0x220 + 179 00000000 + 179 00000000 + 179 00000000 + 179 00000000 + 180 int_220: + 181 0220 48000000 b . + 182 + 183 # + 184 0224 00000000 .org 0x240 + 184 00000000 + 184 00000000 + 184 00000000 + 184 00000000 + 185 int_240: + 186 0240 48000000 b . + 187 + 188 # + 189 0244 00000000 .org 0x260 + 189 00000000 + 189 00000000 + 189 00000000 + 189 00000000 + 190 int_260: + 191 0260 48000000 b . + 192 + 193 # doorbell + 194 0264 00000000 .org 0x280 + 194 00000000 + 194 00000000 + 194 00000000 + 194 00000000 + 195 int_280: + 196 0280 48000000 b . + 197 + 198 # doorbell critical + 199 0284 00000000 .org 0x2A0 + 199 00000000 + 199 00000000 + 199 00000000 + 199 00000000 + 200 int_2A0: + 201 02a0 48000000 b . + 202 + 203 # doorbell guest + 204 02a4 00000000 .org 0x2C0 + 204 00000000 + 204 00000000 + 204 00000000 + 204 00000000 + 205 int_2C0: + 206 02c0 48000000 b . + 207 + 208 # doorbell guest critical + 209 02c4 00000000 .org 0x2E0 + 209 00000000 + 209 00000000 + 209 00000000 + 209 00000000 + 210 int_2E0: + 211 02e0 48000000 b . + 212 + 213 # hvsc + 214 02e4 00000000 .org 0x300 + 214 00000000 + 214 00000000 + 214 00000000 + 214 00000000 + 215 int_300: + 216 0300 48000000 b . + 217 + 218 # hvpriv + 219 0304 00000000 .org 0x320 + 219 00000000 + 219 00000000 + 219 00000000 + 219 00000000 + 220 int_320: + 221 0320 48000000 b . + 222 + 223 # lrat + 224 0324 00000000 .org 0x340 + 224 00000000 + 224 00000000 + 224 00000000 + 224 00000000 + 225 int_340: + 226 0340 48000000 b . + 227 + 228 # ------------------------------------------------------------------------------------------------- + 229 # initial translation + 230 # both erats: + 231 # 00000000 64K: (rom, BE) + 232 # 00010000 64K: (ram, BE or LE) + 233 # + 234 0344 00000000 .org 0x400 + 234 00000000 + 234 00000000 + 234 00000000 + 234 00000000 + 235 boot_start: + 236 + 237 0400 7CBE6AA6 mfspr r5,tir # who am i? + 238 0404 2C250000 cmpdi r5,0x00 # skip unless T0 + 239 0408 408200E0 bne init_t123 240 - 241 0420 7C7CFBA6 mtspr mmucr0,r3 - 242 0424 7C4011A6 eratwe r2,r0,2 - 243 0428 7C8009A6 eratwe r4,r0,1 - 244 042c 7D0001A6 eratwe r8,r0,0 - 245 0430 4C00012C isync - 246 - 247 0434 39400000 load32 r10,BIOS_ERATW2 # word 2 wlc=40:41 rsvd=42 u=44:47 r=48 c=49 wimge=52:56 vf=57 ux/ - 247 654A0000 - 247 614A003F + 241 040c 3C608C00 lis r3,0x8C00 # 32=ecl 36:37=tlbsel (10=i, 11=d) + 242 + 243 # derat 31 @00000000 + 244 0410 3800001F li r0,0x001F # entry #31 + 245 0414 38400015 li r2,0x0015 # word 2 wlc=40:41 rsvd=42 u=44:47 r=48 c=49 wimge=52:56 vf=57 ux/ + 246 0418 38800000 li r4,0 # word 1 rpn(32:51)=32:51 rpn(22:31)=54:63 + 247 041c 3900023F li r8,0x023F # word 0 epn=32:51 class=52:53 v=54 x=55 size=56:59 thrd=60:63 s 248 - 249 # derat 30 @ - 250 0440 3800001E li r0,0x001E # entry #30 - 251 0444 38800000 load32 r4,BIOS_START # word 1 rpn(32:51)=32:51 rpn(22:31)=54:63 - 251 64840001 - 251 60840000 - 252 0450 39000000 load32 r8,BIOS_START - 252 65080001 - 252 61080000 - 253 045c 6108023F ori r8,r8,0x023F # word 0 epn=32:51 class=52:53 v=54 x=55 size=56:59 thrd=60:63 s + 249 0420 7C7CFBA6 mtspr mmucr0,r3 + 250 0424 7C4011A6 eratwe r2,r0,2 + 251 0428 7C8009A6 eratwe r4,r0,1 + 252 042c 7D0001A6 eratwe r8,r0,0 + 253 0430 4C00012C isync 254 - 255 0460 7D4011A6 eratwe r10,r0,2 - 256 0464 7C8009A6 eratwe r4,r0,1 - 257 0468 7D0001A6 eratwe r8,r0,0 - 258 046c 4C00012C isync - 259 - 260 0470 3C608800 lis r3,0x8800 # 32=ecl 36:37=tlbsel (10=i, 11=d) - 261 - 262 # ierat 15 @00000000 - 263 0474 3800000F li r0,0x000F # entry #15 - 264 0478 3840003F li r2,0x003F # word 2 wlc=40:41 rsvd=42 u=44:47 r=48 c=49 wimge=52:56 vf=57 ux/ - 265 047c 38800000 li r4,0 # word 1 rpn(32:51)=32:51 rpn(22:31)=54:63 - 266 0480 3900023F li r8,0x023F # word 0 epn=32:51 class=52:53 v=54 x=55 size=56:59 thrd=60:63 s + 255 0434 39400000 load32 r10,BIOS_ERATW2 # word 2 wlc=40:41 rsvd=42 u=44:47 r=48 c=49 wimge=52:56 vf=57 ux/ + 255 654A0000 + 255 614A003F + 256 + 257 # derat 30 @ + 258 0440 3800001E li r0,0x001E # entry #30 + 259 0444 38800000 load32 r4,BIOS_START # word 1 rpn(32:51)=32:51 rpn(22:31)=54:63 + 259 64840001 + 259 60840000 + 260 0450 39000000 load32 r8,BIOS_START + 260 65080001 + 260 61080000 + 261 045c 6108023F ori r8,r8,0x023F # word 0 epn=32:51 class=52:53 v=54 x=55 size=56:59 thrd=60:63 s + 262 + 263 0460 7D4011A6 eratwe r10,r0,2 + 264 0464 7C8009A6 eratwe r4,r0,1 + 265 0468 7D0001A6 eratwe r8,r0,0 + 266 046c 4C00012C isync 267 - 268 0484 7C7CFBA6 mtspr mmucr0,r3 - 269 0488 7C4011A6 eratwe r2,r0,2 - 270 048c 7C8009A6 eratwe r4,r0,1 - 271 0490 7D0001A6 eratwe r8,r0,0 - 272 0494 4C00012C isync - 273 - 274 # *** leave the init'd entry 14 for MT access to FFFFFFC0 - 275 # ierat 13 @ - 276 0498 3800000D li r0,0x000D # entry #13 - 277 049c 38800000 load32 r4,BIOS_START # word 1 rpn(32:51)=32:51 rpn(22:31)=54:63 - 277 64840001 - 277 60840000 - 278 04a8 39000000 load32 r8,BIOS_START - 278 65080001 - 278 61080000 - 279 04b4 6108023F ori r8,r8,0x023F # word 0 epn=32:51 class=52:53 v=54 x=55 size=56:59 thrd=60:63 s - 280 - 281 04b8 7D4011A6 eratwe r10,r0,2 - 282 04bc 7C8009A6 eratwe r4,r0,1 - 283 04c0 7D0001A6 eratwe r8,r0,0 - 284 04c4 4C00012C isync - 285 - 286 04c8 48000004 b init_t0 - 287 - 288 # ------------------------------------------------------------------------------------------------- - 289 # init - 290 # - 291 - 292 # T0 + 268 0470 3C608800 lis r3,0x8800 # 32=ecl 36:37=tlbsel (10=i, 11=d) + 269 + 270 # ierat 15 @00000000 + 271 0474 3800000F li r0,0x000F # entry #15 + 272 0478 3840003F li r2,0x003F # word 2 wlc=40:41 rsvd=42 u=44:47 r=48 c=49 wimge=52:56 vf=57 ux/ + 273 047c 38800000 li r4,0 # word 1 rpn(32:51)=32:51 rpn(22:31)=54:63 + 274 0480 3900023F li r8,0x023F # word 0 epn=32:51 class=52:53 v=54 x=55 size=56:59 thrd=60:63 s + 275 + 276 0484 7C7CFBA6 mtspr mmucr0,r3 + 277 0488 7C4011A6 eratwe r2,r0,2 + 278 048c 7C8009A6 eratwe r4,r0,1 + 279 0490 7D0001A6 eratwe r8,r0,0 + 280 0494 4C00012C isync + 281 + 282 # *** leave the init'd entry 14 for MT access to FFFFFFC0 + 283 # ierat 13 @ + 284 0498 3800000D li r0,0x000D # entry #13 + 285 049c 38800000 load32 r4,BIOS_START # word 1 rpn(32:51)=32:51 rpn(22:31)=54:63 + 285 64840001 + 285 60840000 + 286 04a8 39000000 load32 r8,BIOS_START + 286 65080001 + 286 61080000 + 287 04b4 6108023F ori r8,r8,0x023F # word 0 epn=32:51 class=52:53 v=54 x=55 size=56:59 thrd=60:63 s + 288 + 289 04b8 7D4011A6 eratwe r10,r0,2 + 290 04bc 7C8009A6 eratwe r4,r0,1 + 291 04c0 7D0001A6 eratwe r8,r0,0 + 292 04c4 4C00012C isync 293 - 294 init_t0: + 294 04c8 48000004 b init_t0 295 - 296 # set up BIOS msr - 297 - 298 04cc 39400000 load32 r10,BIOS_MSR - 298 654A8002 - 298 614AB000 - 299 04d8 7D400124 mtmsr r10 - 300 04dc 4C00012C isync - 301 # can't use load32 unless you can .set BIOS_STACK_0 to the linked value - 302 # load32 r1,BIOS_STACK_0 # @stack_0 - 303 # this ignores def - 304 # lis r1,_stack_0@h - 305 # ori r1,r1,_stack_0@l - 306 # this requires data load - 307 04e0 80200000 lwz r1,stack_0(r0) - 308 - 309 04e4 48000020 b boot_complete - 310 - 311 # except T0 - 312 - 313 init_t123: - 314 - 315 # set up BIOS msr + 296 # ------------------------------------------------------------------------------------------------- + 297 # init + 298 # + 299 + 300 # T0 + 301 + 302 init_t0: + 303 + 304 # set up BIOS msr + 305 + 306 04cc 39400000 load32 r10,BIOS_MSR + 306 654A8002 + 306 614AB000 + 307 04d8 7D400124 mtmsr r10 + 308 04dc 4C00012C isync + 309 # can't use load32 unless you can .set BIOS_STACK_0 to the linked value + 310 # load32 r1,BIOS_STACK_0 # @stack_0 + 311 # this ignores def + 312 # lis r1,_stack_0@h + 313 # ori r1,r1,_stack_0@l + 314 # this requires data load + 315 04e0 80200000 lwz r1,stack_0(r0) 316 - 317 04e8 39400000 load32 r10,BIOS_MSR - 317 654A8002 - 317 614AB000 - 318 04f4 7D400124 mtmsr r10 - 319 04f8 4C00012C isync - 320 # check tir if more than 2 threads possible - 321 04fc 80200000 lwz r1,stack_1(r0) + 317 04e4 48000020 b boot_complete + 318 + 319 # except T0 + 320 + 321 init_t123: 322 - 323 0500 48000004 b boot_complete + 323 # set up BIOS msr 324 - 325 # ------------------------------------------------------------------------------------------------- - 326 boot_complete: - 327 - 328 # set up thread and hop to it - 329 - 330 0504 3C600000 lis r3,main@h - 331 0508 60630000 ori r3,r3,main@l - 332 050c 7C6903A6 mtctr r3 - 333 0510 7C7E6AA6 mfspr r3,tir # who am i? - 334 0514 4E800421 bctrl - 335 0518 480002E4 b kernel_return - 336 - 337 # ------------------------------------------------------------------------------------------------- - 338 - 339 051c 00000000 .org 0x7FC - 339 00000000 - 339 00000000 - 339 00000000 - 339 00000000 - 340 kernel_return: - 341 07fc 48000000 b . - 342 - 343 # dec - 344 .org 0x800 - 345 int_800: - 346 0800 48000000 b . - 347 - 348 # perf - 349 0804 00000000 .org 0x820 - 349 00000000 - 349 00000000 - 349 00000000 - 349 00000000 - 350 int_820: - 351 0820 48000000 b . - 352 - 353 0824 00000000 .org 0x8F0 - 353 00000000 - 353 00000000 - 353 00000000 - 353 00000000 - 354 .section .rodata - 355 0000 00000000 stack_0: .long BIOS_STACK_0 - 356 0004 00000000 stack_1: .long BIOS_STACK_1 + 325 04e8 39400000 load32 r10,BIOS_MSR + 325 654A8002 + 325 614AB000 + 326 04f4 7D400124 mtmsr r10 + 327 04f8 4C00012C isync + 328 # check tir if more than 2 threads possible + 329 04fc 80200000 lwz r1,stack_1(r0) + 330 + 331 0500 48000004 b boot_complete + 332 + 333 # ------------------------------------------------------------------------------------------------- + 334 boot_complete: + 335 + 336 # set up thread and hop to it + 337 + 338 0504 3C600000 lis r3,main@h + 339 0508 60630000 ori r3,r3,main@l + 340 050c 7C6903A6 mtctr r3 + 341 0510 7C7E6AA6 mfspr r3,tir # who am i? + 342 0514 4E800421 bctrl + 343 0518 480002E4 b kernel_return + 344 + 345 # ------------------------------------------------------------------------------------------------- + 346 + 347 .ifdef TST_PASSFAIL + 348 .global tst_pass + 349 .global tst_fail + 350 + 351 051c 00000000 .org 0x7F0 + 351 00000000 + 351 00000000 + 351 00000000 + 351 00000000 + 352 tst_pass: + 353 07f0 48000000 b . + 354 + 355 .org 0x7F4 + 356 tst_fail: + 357 07f4 48000000 b . + 358 .endif + 359 + 360 07f8 00000000 .org 0x7FC + 361 kernel_return: + 362 07fc 48000000 b . + 363 + 364 # dec + 365 .org 0x800 + 366 int_800: + 367 0800 48000000 b . + 368 + 369 # perf + 370 0804 00000000 .org 0x820 + 370 00000000 + 370 00000000 + 370 00000000 + 370 00000000 + 371 int_820: + 372 0820 48000000 b . + 373 + 374 0824 00000000 .org 0x8F0 + 374 00000000 + 374 00000000 + 374 00000000 + 374 00000000 + 375 .section .rodata + 376 0000 00000000 stack_0: .long BIOS_STACK_0 + 377 0004 00000000 stack_1: .long BIOS_STACK_1 diff --git a/dev/src/test3/crt0.o b/dev/src/test3/crt0.o index 1dc9bc2..ffb58fe 100644 Binary files a/dev/src/test3/crt0.o and b/dev/src/test3/crt0.o differ diff --git a/dev/src/test3/liblitex.h b/dev/src/test3/liblitex.h new file mode 100644 index 0000000..f20b00c --- /dev/null +++ b/dev/src/test3/liblitex.h @@ -0,0 +1,7 @@ +#ifndef _LITEXLIB_ +#define _LITEXLIB_ + +#include + + +#endif \ No newline at end of file diff --git a/dev/src/test3/linker.ld b/dev/src/test3/linker.ld index 2a00a55..d48492f 100755 --- a/dev/src/test3/linker.ld +++ b/dev/src/test3/linker.ld @@ -47,7 +47,7 @@ SECTIONS { . = ALIGN(8); _fdata = .; - *(.data .data.* .gnu.linkonce.d.*) + *(.data .data.* .sdata .gnu.linkonce.d.*) FILL(0); . = ALIGN(8); _edata = .; @@ -63,7 +63,7 @@ SECTIONS .bss : { - . = ALIGN(8); + . = 0x0000C000; /*relative to start of ram! ALIGN(16384*4);*/ _fbss = .; *(.dynsbss) *(.sbss .sbss.* .gnu.linkonce.sb.*) diff --git a/dev/src/test3/litex b/dev/src/test3/litex new file mode 120000 index 0000000..c037288 --- /dev/null +++ b/dev/src/test3/litex @@ -0,0 +1 @@ +/home/wtf/projects/litex/litex/soc/software \ No newline at end of file diff --git a/dev/src/test3/readme.md b/dev/src/test3/readme.md index 7db9781..d886723 100644 --- a/dev/src/test3/readme.md +++ b/dev/src/test3/readme.md @@ -9,6 +9,77 @@ build cp rom.init test3 ``` +### methodology + +1. produce tst.s (stub code and tst definition) and link with kernel/bios +2. tst init is done in tst.s +3. jmp2tst +4. tst ends (bla through kernel, sc, etc.) +5. tst cleanup is done in tst.s +6. tst checking, etc. is done in bios + + + + +### debug + * hanging during results save; itags not executed in cpl queue ![](cpl_hang.png) + +* itags 07-0B are dispatched but not marked 'executed' in cplq; they were sent to stq + +* not documented completely in spec (CPCR2, CPCR3, CPCR4, CPCR5); 2/3 are for hi-pri and 4/5 for med(?) + + ``` + assign spr_t0_cpcr2_fx0_cnt = cpcr2_l2[0][35:39]; + assign spr_t0_cpcr2_fx1_cnt = cpcr2_l2[0][43:47]; + assign spr_t0_cpcr2_lq_cnt = cpcr2_l2[0][51:55]; + assign spr_t0_cpcr2_sq_cnt = cpcr2_l2[0][59:63]; + assign spr_t0_cpcr3_fu0_cnt = cpcr3_l2[0][43:47]; + assign spr_t0_cpcr3_fu1_cnt = cpcr3_l2[0][51:55]; + assign spr_t0_cpcr3_cp_cnt = cpcr3_l2[0][57:63]; + assign spr_t0_cpcr4_fx0_cnt = cpcr4_l2[0][35:39]; + assign spr_t0_cpcr4_fx1_cnt = cpcr4_l2[0][43:47]; + assign spr_t0_cpcr4_lq_cnt = cpcr4_l2[0][51:55]; + assign spr_t0_cpcr4_sq_cnt = cpcr4_l2[0][59:63]; + assign spr_t0_cpcr5_fu0_cnt = cpcr5_l2[0][43:47]; + assign spr_t0_cpcr5_fu1_cnt = cpcr5_l2[0][51:55]; + assign spr_t0_cpcr5_cp_cnt = cpcr5_l2[0][57:63]; + ``` + +* verilog violation in iuq_dispatch! unused thread 1 strand bits skipping force to 0, and used later as x's for credit checks which didn't stop dispatch + +``` +//wtf (THREADS1 is def'd) +// iverilog sez: ../../verilog/work/iuq_dispatch.v:2322: warning: @* found no sensitivities so it will never trigger. +// seems to be correct behavior - block won't be entered without a value change +// make it initial, or a generate? +`ifdef THREADS1 + //always @(*) + initial +``` + + +### printf from litex + +add putchar function to store to memory buffer + +``` +/home/wtf/projects/litex/litex/soc/software/libbase/vsnprintf.c + +plus at least these: + +from /home/wtf/projects/litex/litex/soc/software/include/base/ +#include +#include +#include +#include +#include +#include +#include + +from /home/wtf/projects/litex/litex/soc/software/include/fdlibm +#include +``` + diff --git a/dev/src/test3/regions.ld b/dev/src/test3/regions.ld index eb14e91..adbd237 100644 --- a/dev/src/test3/regions.ld +++ b/dev/src/test3/regions.ld @@ -1,5 +1,6 @@ MEMORY { rom : ORIGIN = 0x00000000, LENGTH = 0x00010000 - ram : ORIGIN = 0x00010000, LENGTH = 0x00010000 + tst : ORIGIN = 0x00010000, LENGTH = 0x00002000 + ram : ORIGIN = 0x00012000, LENGTH = 0x0000E000 csr : ORIGIN = 0xFFF00000, LENGTH = 0x00010000 } \ No newline at end of file diff --git a/dev/src/test3/rom b/dev/src/test3/rom index 7215699..6cd98ae 100755 Binary files a/dev/src/test3/rom and b/dev/src/test3/rom differ diff --git a/dev/src/test3/rom.bin b/dev/src/test3/rom.bin index 590b168..3e4879a 100755 Binary files a/dev/src/test3/rom.bin and b/dev/src/test3/rom.bin differ diff --git a/dev/src/test3/rom.d b/dev/src/test3/rom.d index 281b685..0af8c1c 100644 --- a/dev/src/test3/rom.d +++ b/dev/src/test3/rom.d @@ -6,11 +6,11 @@ Disassembly of section .kernel: 00000000 <_start>: 0: 48 00 04 00 b 400 - 4: 48 00 0f 30 b f34 + 4: 44 00 00 02 sc ... 00000020 : - 20: 48 00 0c dc b cfc + 20: 48 00 10 4c b 106c ... 00000040 : @@ -42,7 +42,7 @@ Disassembly of section .kernel: ... 00000120 : - 120: 48 00 0b dc b cfc + 120: 48 00 11 94 b 12b4 ... 00000140 : @@ -172,7 +172,7 @@ Disassembly of section .kernel: 4d4: 61 4a b0 00 ori r10,r10,45056 4d8: 7d 40 01 24 mtmsr r10 4dc: 4c 00 01 2c isync - 4e0: 80 20 09 04 lwz r1,2308(0) + 4e0: 80 20 08 fa lwz r1,2298(0) 4e4: 48 00 00 20 b 504 000004e8 : @@ -181,18 +181,25 @@ Disassembly of section .kernel: 4f0: 61 4a b0 00 ori r10,r10,45056 4f4: 7d 40 01 24 mtmsr r10 4f8: 4c 00 01 2c isync - 4fc: 80 20 09 08 lwz r1,2312(0) + 4fc: 80 20 08 fe lwz r1,2302(0) 500: 48 00 00 04 b 504 00000504 : 504: 3c 60 00 00 lis r3,0 - 508: 60 63 09 20 ori r3,r3,2336 + 508: 60 63 0c 34 ori r3,r3,3124 50c: 7c 69 03 a6 mtctr r3 510: 7c 7e 6a a6 mfspr r3,446 514: 4e 80 04 21 bctrl 518: 48 00 02 e4 b 7fc ... +000007f0 : + 7f0: 48 00 00 00 b 7f0 + +000007f4 : + 7f4: 48 00 00 00 b 7f4 + 7f8: 00 00 00 00 .long 0x0 + 000007fc : 7fc: 48 00 00 00 b 7fc @@ -206,807 +213,3406 @@ Disassembly of section .kernel: Disassembly of section .bios: -00000910 : - 910: 60 00 00 00 nop - 914: 60 00 00 00 nop - 918: 60 00 00 00 nop - 91c: 60 00 00 00 nop - -00000920
: - 920: 94 21 ff c0 stwu r1,-64(r1) - 924: 90 61 00 38 stw r3,56(r1) - 928: 3d 20 00 01 lis r9,1 - 92c: 81 29 00 00 lwz r9,0(r9) - 930: 91 21 00 0c stw r9,12(r1) - 934: 3d 20 00 00 lis r9,0 - 938: 39 29 0d 20 addi r9,r9,3360 - 93c: 91 21 00 10 stw r9,16(r1) - 940: 81 21 00 38 lwz r9,56(r1) - 944: 2c 09 00 00 cmpwi r9,0 - 948: 41 82 00 0c beq 954 - 94c: 39 20 ff ff li r9,-1 - 950: 48 00 01 88 b ad8 - 954: 3d 20 00 00 lis r9,0 - 958: 81 29 0d 00 lwz r9,3328(r9) - 95c: 91 21 00 08 stw r9,8(r1) - 960: 48 00 00 28 b 988 - 964: 81 21 00 0c lwz r9,12(r1) - 968: 39 49 00 04 addi r10,r9,4 - 96c: 91 41 00 0c stw r10,12(r1) - 970: 81 41 00 08 lwz r10,8(r1) - 974: 81 4a 00 00 lwz r10,0(r10) - 978: 91 49 00 00 stw r10,0(r9) - 97c: 81 21 00 08 lwz r9,8(r1) - 980: 39 29 00 04 addi r9,r9,4 - 984: 91 21 00 08 stw r9,8(r1) - 988: 3d 20 00 00 lis r9,0 - 98c: 81 29 0d 00 lwz r9,3328(r9) - 990: 81 41 00 08 lwz r10,8(r1) - 994: 7c 0a 48 40 cmplw r10,r9 - 998: 41 80 ff cc blt 964 - 99c: 3d 20 00 01 lis r9,1 - 9a0: 81 29 00 00 lwz r9,0(r9) - 9a4: 91 21 00 08 stw r9,8(r1) - 9a8: 48 00 00 20 b 9c8 - 9ac: 3d 20 00 01 lis r9,1 - 9b0: 81 29 00 00 lwz r9,0(r9) - 9b4: 39 40 00 00 li r10,0 - 9b8: 91 49 00 00 stw r10,0(r9) - 9bc: 81 21 00 08 lwz r9,8(r1) - 9c0: 39 29 00 04 addi r9,r9,4 - 9c4: 91 21 00 08 stw r9,8(r1) - 9c8: 3d 20 00 01 lis r9,1 - 9cc: 81 29 00 00 lwz r9,0(r9) - 9d0: 81 41 00 08 lwz r10,8(r1) - 9d4: 7c 0a 48 40 cmplw r10,r9 - 9d8: 41 80 ff d4 blt 9ac - 9dc: 3d 20 03 00 lis r9,768 - 9e0: 91 21 00 34 stw r9,52(r1) - 9e4: 81 21 00 34 lwz r9,52(r1) - 9e8: 3c 80 00 00 lis r4,0 - 9ec: 60 84 00 09 ori r4,r4,9 - 9f0: 7c 93 4b a6 mtspr 307,r4 - 9f4: 60 00 00 00 nop - 9f8: 39 20 00 00 li r9,0 - 9fc: 91 21 00 30 stw r9,48(r1) - a00: 81 21 00 30 lwz r9,48(r1) - a04: 3c 80 00 00 lis r4,0 - a08: 60 84 00 09 ori r4,r4,9 - a0c: 7c 96 03 a6 mtdec r4 - a10: 60 00 00 00 nop - a14: 39 20 00 00 li r9,0 - a18: 91 21 00 2c stw r9,44(r1) - a1c: 81 21 00 2c lwz r9,44(r1) - a20: 3c 80 00 00 lis r4,0 - a24: 60 84 00 09 ori r4,r4,9 - a28: 7c 9d 43 a6 mttbu r4 - a2c: 60 00 00 00 nop - a30: 39 20 00 00 li r9,0 - a34: 91 21 00 28 stw r9,40(r1) - a38: 81 21 00 28 lwz r9,40(r1) - a3c: 3c 80 00 00 lis r4,0 - a40: 60 84 00 09 ori r4,r4,9 - a44: 7c 9c 43 a6 mttbl r4 - a48: 60 00 00 00 nop - a4c: 3d 20 fe 00 lis r9,-512 - a50: 91 21 00 24 stw r9,36(r1) - a54: 81 21 00 24 lwz r9,36(r1) - a58: 3c 80 00 00 lis r4,0 - a5c: 60 84 00 09 ori r4,r4,9 - a60: 7c 90 53 a6 mtspr 336,r4 - a64: 60 00 00 00 nop - a68: 7d 36 fa a6 mfspr r9,1014 - a6c: 91 21 00 20 stw r9,32(r1) - a70: 81 21 00 20 lwz r9,32(r1) - a74: 55 29 05 ac rlwinm r9,r9,0,22,22 - a78: 91 21 00 1c stw r9,28(r1) - a7c: 81 21 00 1c lwz r9,28(r1) - a80: 3c 80 00 00 lis r4,0 - a84: 60 84 00 09 ori r4,r4,9 - a88: 7c 96 fb a6 mtspr 1014,r4 - a8c: 60 00 00 00 nop - a90: 39 20 00 00 li r9,0 - a94: 91 21 00 18 stw r9,24(r1) - a98: 81 21 00 18 lwz r9,24(r1) - a9c: 3c 80 00 00 lis r4,0 - aa0: 60 84 00 09 ori r4,r4,9 - aa4: 7c 90 53 a6 mtspr 336,r4 - aa8: 60 00 00 00 nop - aac: 39 20 00 00 li r9,0 - ab0: 91 21 00 14 stw r9,20(r1) - ab4: 81 21 00 14 lwz r9,20(r1) - ab8: 3c 80 00 00 lis r4,0 - abc: 60 84 00 09 ori r4,r4,9 - ac0: 7c 94 53 a6 mtspr 340,r4 - ac4: 60 00 00 00 nop - ac8: 81 21 00 10 lwz r9,16(r1) - acc: 7d 23 4b 78 mr r3,r9 - ad0: 48 00 03 70 b e40 - ad4: 48 00 00 00 b ad4 - ad8: 7d 23 4b 78 mr r3,r9 - adc: 38 21 00 40 addi r1,r1,64 - ae0: 4e 80 00 20 blr - -00000ae4 : - ae4: 94 21 ff e0 stwu r1,-32(r1) - ae8: 7c 08 02 a6 mflr r0 - aec: 90 01 00 24 stw r0,36(r1) - af0: 90 61 00 18 stw r3,24(r1) - af4: 39 20 00 01 li r9,1 - af8: 91 21 00 08 stw r9,8(r1) - afc: 39 20 00 00 li r9,0 - b00: 91 21 00 0c stw r9,12(r1) - b04: 81 41 00 18 lwz r10,24(r1) - b08: 3d 20 08 67 lis r9,2151 - b0c: 61 29 53 09 ori r9,r9,21257 - b10: 7c 0a 48 00 cmpw r10,r9 - b14: 41 82 00 0c beq b20 - b18: 39 20 00 00 li r9,0 - b1c: 91 21 00 08 stw r9,8(r1) - b20: 81 21 00 08 lwz r9,8(r1) - b24: 2c 09 00 00 cmpwi r9,0 - b28: 41 82 00 28 beq b50 - b2c: 3d 20 00 00 lis r9,0 - b30: 38 89 08 f0 addi r4,r9,2288 - b34: 38 60 00 20 li r3,32 - b38: 48 00 01 15 bl c4c - b3c: 7c 69 1b 78 mr r9,r3 - b40: 2c 09 00 00 cmpwi r9,0 - b44: 41 82 00 0c beq b50 - b48: 39 20 00 01 li r9,1 - b4c: 48 00 00 08 b b54 - b50: 39 20 00 00 li r9,0 - b54: 91 21 00 08 stw r9,8(r1) - b58: 81 21 00 08 lwz r9,8(r1) - b5c: 2c 09 00 00 cmpwi r9,0 - b60: 41 82 00 28 beq b88 - b64: 3d 20 00 00 lis r9,0 - b68: 38 89 08 f4 addi r4,r9,2292 - b6c: 38 60 00 21 li r3,33 - b70: 48 00 00 dd bl c4c - b74: 7c 69 1b 78 mr r9,r3 - b78: 2c 09 00 00 cmpwi r9,0 - b7c: 41 82 00 0c beq b88 - b80: 39 20 00 01 li r9,1 - b84: 48 00 00 08 b b8c - b88: 39 20 00 00 li r9,0 - b8c: 91 21 00 08 stw r9,8(r1) - b90: 81 21 00 08 lwz r9,8(r1) - b94: 2c 09 00 00 cmpwi r9,0 - b98: 41 82 00 28 beq bc0 - b9c: 3d 20 00 00 lis r9,0 - ba0: 38 89 08 f8 addi r4,r9,2296 - ba4: 38 60 00 22 li r3,34 - ba8: 48 00 00 a5 bl c4c - bac: 7c 69 1b 78 mr r9,r3 - bb0: 2c 09 00 00 cmpwi r9,0 - bb4: 41 82 00 0c beq bc0 - bb8: 39 20 00 01 li r9,1 - bbc: 48 00 00 08 b bc4 - bc0: 39 20 00 00 li r9,0 - bc4: 91 21 00 08 stw r9,8(r1) - bc8: 81 21 00 08 lwz r9,8(r1) - bcc: 2c 09 00 00 cmpwi r9,0 - bd0: 41 82 00 28 beq bf8 - bd4: 3d 20 00 00 lis r9,0 - bd8: 38 89 08 fc addi r4,r9,2300 - bdc: 38 60 00 23 li r3,35 - be0: 48 00 00 6d bl c4c - be4: 7c 69 1b 78 mr r9,r3 - be8: 2c 09 00 00 cmpwi r9,0 - bec: 41 82 00 0c beq bf8 - bf0: 39 20 00 01 li r9,1 - bf4: 48 00 00 08 b bfc - bf8: 39 20 00 00 li r9,0 - bfc: 91 21 00 08 stw r9,8(r1) - c00: 81 21 00 08 lwz r9,8(r1) - c04: 2c 09 00 00 cmpwi r9,0 - c08: 41 82 00 28 beq c30 - c0c: 3d 20 00 00 lis r9,0 - c10: 38 89 09 00 addi r4,r9,2304 - c14: 38 60 00 24 li r3,36 - c18: 48 00 00 35 bl c4c - c1c: 7c 69 1b 78 mr r9,r3 - c20: 2c 09 00 00 cmpwi r9,0 - c24: 41 82 00 0c beq c30 - c28: 39 20 00 01 li r9,1 - c2c: 48 00 00 08 b c34 - c30: 39 20 00 00 li r9,0 - c34: 91 21 00 08 stw r9,8(r1) - c38: 60 00 00 00 nop - c3c: 80 01 00 24 lwz r0,36(r1) - c40: 7c 08 03 a6 mtlr r0 - c44: 38 21 00 20 addi r1,r1,32 - c48: 4e 80 00 20 blr - -00000c4c : - c4c: 94 21 ff e0 stwu r1,-32(r1) - c50: 90 61 00 18 stw r3,24(r1) - c54: 90 81 00 1c stw r4,28(r1) - c58: 39 20 00 01 li r9,1 - c5c: 91 21 00 08 stw r9,8(r1) - c60: 81 21 00 18 lwz r9,24(r1) - c64: 55 2a 10 3a rlwinm r10,r9,2,0,29 - c68: 3d 20 00 00 lis r9,0 - c6c: 39 29 0d 20 addi r9,r9,3360 - c70: 7d 2a 4a 14 add r9,r10,r9 - c74: 81 29 00 00 lwz r9,0(r9) - c78: 91 21 00 0c stw r9,12(r1) - c7c: 81 21 00 18 lwz r9,24(r1) - c80: 55 2a 10 3a rlwinm r10,r9,2,0,29 - c84: 3d 20 00 00 lis r9,0 - c88: 39 29 10 20 addi r9,r9,4128 - c8c: 7d 2a 4a 14 add r9,r10,r9 - c90: 81 29 00 00 lwz r9,0(r9) - c94: 91 21 00 10 stw r9,16(r1) - c98: 81 21 00 18 lwz r9,24(r1) - c9c: 55 2a 10 3a rlwinm r10,r9,2,0,29 - ca0: 3d 20 00 00 lis r9,0 - ca4: 39 29 10 c0 addi r9,r9,4288 - ca8: 7d 2a 4a 14 add r9,r10,r9 - cac: 81 29 00 00 lwz r9,0(r9) - cb0: 91 21 00 14 stw r9,20(r1) - cb4: 81 41 00 10 lwz r10,16(r1) - cb8: 81 21 00 14 lwz r9,20(r1) - cbc: 7d 49 4a 78 xor r9,r10,r9 - cc0: 31 49 ff ff addic r10,r9,-1 - cc4: 7d 2a 49 10 subfe r9,r10,r9 - cc8: 55 29 06 3e clrlwi r9,r9,24 - ccc: 91 21 00 08 stw r9,8(r1) - cd0: 81 21 00 08 lwz r9,8(r1) - cd4: 7d 23 4b 78 mr r3,r9 - cd8: 38 21 00 20 addi r1,r1,32 - cdc: 4e 80 00 20 blr - -00000ce0 : - ce0: 94 21 ff f0 stwu r1,-16(r1) - ce4: 90 61 00 08 stw r3,8(r1) - ce8: 90 81 00 0c stw r4,12(r1) - cec: 48 00 02 48 b f34 - cf0: 60 00 00 00 nop - cf4: 38 21 00 10 addi r1,r1,16 - cf8: 4e 80 00 20 blr - -00000cfc : - cfc: 48 00 00 00 b cfc +00000c00 : + c00: 94 21 ff f0 stwu r1,-16(r1) + c04: 7c 69 1b 78 mr r9,r3 + c08: 99 21 00 08 stb r9,8(r1) + c0c: 3d 20 00 01 lis r9,1 + c10: 81 29 20 00 lwz r9,8192(r9) + c14: 39 09 00 01 addi r8,r9,1 + c18: 3d 40 00 01 lis r10,1 + c1c: 91 0a 20 00 stw r8,8192(r10) + c20: 89 41 00 08 lbz r10,8(r1) + c24: 99 49 00 00 stb r10,0(r9) + c28: 60 00 00 00 nop + c2c: 38 21 00 10 addi r1,r1,16 + c30: 4e 80 00 20 blr + +00000c34
: + c34: 94 21 ff c0 stwu r1,-64(r1) + c38: 7c 08 02 a6 mflr r0 + c3c: 90 01 00 44 stw r0,68(r1) + c40: 90 61 00 38 stw r3,56(r1) + c44: 3d 20 00 01 lis r9,1 + c48: 39 29 20 00 addi r9,r9,8192 + c4c: 91 21 00 0c stw r9,12(r1) + c50: 3d 20 00 00 lis r9,0 + c54: 39 29 10 a0 addi r9,r9,4256 + c58: 91 21 00 10 stw r9,16(r1) + c5c: 81 21 00 38 lwz r9,56(r1) + c60: 2c 09 00 00 cmpwi r9,0 + c64: 41 82 00 0c beq c70 + c68: 39 20 ff ff li r9,-1 + c6c: 48 00 01 cc b e38 + c70: 3d 20 00 00 lis r9,0 + c74: 39 29 10 70 addi r9,r9,4208 + c78: 91 21 00 08 stw r9,8(r1) + c7c: 48 00 00 28 b ca4 + c80: 81 21 00 0c lwz r9,12(r1) + c84: 39 49 00 04 addi r10,r9,4 + c88: 91 41 00 0c stw r10,12(r1) + c8c: 81 41 00 08 lwz r10,8(r1) + c90: 81 4a 00 00 lwz r10,0(r10) + c94: 91 49 00 00 stw r10,0(r9) + c98: 81 21 00 08 lwz r9,8(r1) + c9c: 39 29 00 04 addi r9,r9,4 + ca0: 91 21 00 08 stw r9,8(r1) + ca4: 81 41 00 08 lwz r10,8(r1) + ca8: 3d 20 00 00 lis r9,0 + cac: 39 29 10 78 addi r9,r9,4216 + cb0: 7c 0a 48 40 cmplw r10,r9 + cb4: 41 80 ff cc blt c80 + cb8: 3d 20 00 02 lis r9,2 + cbc: 39 29 e0 08 addi r9,r9,-8184 + cc0: 91 21 00 08 stw r9,8(r1) + cc4: 48 00 00 24 b ce8 + cc8: 81 21 00 08 lwz r9,8(r1) + ccc: 39 49 00 04 addi r10,r9,4 + cd0: 91 41 00 08 stw r10,8(r1) + cd4: 39 40 00 00 li r10,0 + cd8: 91 49 00 00 stw r10,0(r9) + cdc: 81 21 00 08 lwz r9,8(r1) + ce0: 39 29 00 04 addi r9,r9,4 + ce4: 91 21 00 08 stw r9,8(r1) + ce8: 81 41 00 08 lwz r10,8(r1) + cec: 3d 20 00 02 lis r9,2 + cf0: 39 29 e0 28 addi r9,r9,-8152 + cf4: 7c 0a 48 40 cmplw r10,r9 + cf8: 41 80 ff d0 blt cc8 + cfc: 3d 20 00 00 lis r9,0 + d00: 38 69 0c 00 addi r3,r9,3072 + d04: 48 00 2b 7d bl 3880 + d08: 38 60 00 77 li r3,119 + d0c: 48 00 2b c5 bl 38d0 + d10: 38 60 00 74 li r3,116 + d14: 48 00 2b bd bl 38d0 + d18: 38 60 00 66 li r3,102 + d1c: 48 00 2b b5 bl 38d0 + d20: 38 60 00 0a li r3,10 + d24: 48 00 2b ad bl 38d0 + d28: 80 81 00 38 lwz r4,56(r1) + d2c: 3d 20 00 00 lis r9,0 + d30: 38 69 08 f0 addi r3,r9,2288 + d34: 4c c6 31 82 crclr 4*cr1+eq + d38: 48 00 2d a1 bl 3ad8 + d3c: 3d 20 03 00 lis r9,768 + d40: 91 21 00 34 stw r9,52(r1) + d44: 81 21 00 34 lwz r9,52(r1) + d48: 3c 80 00 00 lis r4,0 + d4c: 60 84 00 09 ori r4,r4,9 + d50: 7c 93 4b a6 mtspr 307,r4 + d54: 60 00 00 00 nop + d58: 39 20 00 00 li r9,0 + d5c: 91 21 00 30 stw r9,48(r1) + d60: 81 21 00 30 lwz r9,48(r1) + d64: 3c 80 00 00 lis r4,0 + d68: 60 84 00 09 ori r4,r4,9 + d6c: 7c 96 03 a6 mtdec r4 + d70: 60 00 00 00 nop + d74: 39 20 00 00 li r9,0 + d78: 91 21 00 2c stw r9,44(r1) + d7c: 81 21 00 2c lwz r9,44(r1) + d80: 3c 80 00 00 lis r4,0 + d84: 60 84 00 09 ori r4,r4,9 + d88: 7c 9d 43 a6 mttbu r4 + d8c: 60 00 00 00 nop + d90: 39 20 00 00 li r9,0 + d94: 91 21 00 28 stw r9,40(r1) + d98: 81 21 00 28 lwz r9,40(r1) + d9c: 3c 80 00 00 lis r4,0 + da0: 60 84 00 09 ori r4,r4,9 + da4: 7c 9c 43 a6 mttbl r4 + da8: 60 00 00 00 nop + dac: 3d 20 fe 00 lis r9,-512 + db0: 91 21 00 24 stw r9,36(r1) + db4: 81 21 00 24 lwz r9,36(r1) + db8: 3c 80 00 00 lis r4,0 + dbc: 60 84 00 09 ori r4,r4,9 + dc0: 7c 90 53 a6 mtspr 336,r4 + dc4: 60 00 00 00 nop + dc8: 7d 36 fa a6 mfspr r9,1014 + dcc: 91 21 00 20 stw r9,32(r1) + dd0: 81 21 00 20 lwz r9,32(r1) + dd4: 55 29 05 ac rlwinm r9,r9,0,22,22 + dd8: 91 21 00 1c stw r9,28(r1) + ddc: 81 21 00 1c lwz r9,28(r1) + de0: 3c 80 00 00 lis r4,0 + de4: 60 84 00 09 ori r4,r4,9 + de8: 7c 96 fb a6 mtspr 1014,r4 + dec: 60 00 00 00 nop + df0: 39 20 00 00 li r9,0 + df4: 91 21 00 18 stw r9,24(r1) + df8: 81 21 00 18 lwz r9,24(r1) + dfc: 3c 80 00 00 lis r4,0 + e00: 60 84 00 09 ori r4,r4,9 + e04: 7c 90 53 a6 mtspr 336,r4 + e08: 60 00 00 00 nop + e0c: 39 20 00 00 li r9,0 + e10: 91 21 00 14 stw r9,20(r1) + e14: 81 21 00 14 lwz r9,20(r1) + e18: 3c 80 00 00 lis r4,0 + e1c: 60 84 00 09 ori r4,r4,9 + e20: 7c 94 53 a6 mtspr 340,r4 + e24: 60 00 00 00 nop + e28: 81 21 00 10 lwz r9,16(r1) + e2c: 7d 23 4b 78 mr r3,r9 + e30: 48 00 03 90 b 11c0 + e34: 48 00 00 00 b e34 + e38: 7d 23 4b 78 mr r3,r9 + e3c: 80 01 00 44 lwz r0,68(r1) + e40: 7c 08 03 a6 mtlr r0 + e44: 38 21 00 40 addi r1,r1,64 + e48: 4e 80 00 20 blr + +00000e4c : + e4c: 94 21 ff e0 stwu r1,-32(r1) + e50: 7c 08 02 a6 mflr r0 + e54: 90 01 00 24 stw r0,36(r1) + e58: 90 61 00 18 stw r3,24(r1) + e5c: 39 20 00 01 li r9,1 + e60: 91 21 00 0c stw r9,12(r1) + e64: 81 41 00 18 lwz r10,24(r1) + e68: 3d 20 08 67 lis r9,2151 + e6c: 61 29 53 09 ori r9,r9,21257 + e70: 7c 0a 48 00 cmpw r10,r9 + e74: 41 82 00 0c beq e80 + e78: 3c 60 80 00 lis r3,-32768 + e7c: 4b ff f9 79 bl 7f4 + e80: 39 20 00 00 li r9,0 + e84: 91 21 00 08 stw r9,8(r1) + e88: 48 00 00 8c b f14 + e8c: 81 21 00 08 lwz r9,8(r1) + e90: 55 2a 10 3a rlwinm r10,r9,2,0,29 + e94: 3d 20 00 00 lis r9,0 + e98: 39 29 13 a0 addi r9,r9,5024 + e9c: 7d 2a 4a 14 add r9,r10,r9 + ea0: 81 29 00 00 lwz r9,0(r9) + ea4: 91 21 00 10 stw r9,16(r1) + ea8: 81 21 00 08 lwz r9,8(r1) + eac: 55 2a 10 3a rlwinm r10,r9,2,0,29 + eb0: 3d 20 00 00 lis r9,0 + eb4: 39 29 14 40 addi r9,r9,5184 + eb8: 7d 2a 4a 14 add r9,r10,r9 + ebc: 81 29 00 00 lwz r9,0(r9) + ec0: 91 21 00 14 stw r9,20(r1) + ec4: 81 21 00 0c lwz r9,12(r1) + ec8: 2c 09 00 00 cmpwi r9,0 + ecc: 41 82 00 1c beq ee8 + ed0: 81 41 00 10 lwz r10,16(r1) + ed4: 81 21 00 14 lwz r9,20(r1) + ed8: 7c 0a 48 00 cmpw r10,r9 + edc: 40 82 00 0c bne ee8 + ee0: 39 20 00 01 li r9,1 + ee4: 48 00 00 08 b eec + ee8: 39 20 00 00 li r9,0 + eec: 91 21 00 0c stw r9,12(r1) + ef0: 81 21 00 0c lwz r9,12(r1) + ef4: 2c 09 00 00 cmpwi r9,0 + ef8: 40 82 00 10 bne f08 + efc: 81 21 00 08 lwz r9,8(r1) + f00: 7d 23 4b 78 mr r3,r9 + f04: 4b ff f8 f1 bl 7f4 + f08: 81 21 00 08 lwz r9,8(r1) + f0c: 39 29 00 01 addi r9,r9,1 + f10: 91 21 00 08 stw r9,8(r1) + f14: 81 21 00 08 lwz r9,8(r1) + f18: 28 09 00 1f cmplwi r9,31 + f1c: 40 81 ff 70 ble e8c + f20: 39 20 00 21 li r9,33 + f24: 91 21 00 08 stw r9,8(r1) + f28: 81 21 00 08 lwz r9,8(r1) + f2c: 55 2a 10 3a rlwinm r10,r9,2,0,29 + f30: 3d 20 00 00 lis r9,0 + f34: 39 29 13 a0 addi r9,r9,5024 + f38: 7d 2a 4a 14 add r9,r10,r9 + f3c: 81 29 00 00 lwz r9,0(r9) + f40: 91 21 00 10 stw r9,16(r1) + f44: 81 21 00 08 lwz r9,8(r1) + f48: 55 2a 10 3a rlwinm r10,r9,2,0,29 + f4c: 3d 20 00 00 lis r9,0 + f50: 39 29 14 40 addi r9,r9,5184 + f54: 7d 2a 4a 14 add r9,r10,r9 + f58: 81 29 00 00 lwz r9,0(r9) + f5c: 55 29 06 44 rlwinm r9,r9,0,25,2 + f60: 91 21 00 14 stw r9,20(r1) + f64: 81 21 00 0c lwz r9,12(r1) + f68: 2c 09 00 00 cmpwi r9,0 + f6c: 41 82 00 1c beq f88 + f70: 81 41 00 10 lwz r10,16(r1) + f74: 81 21 00 14 lwz r9,20(r1) + f78: 7c 0a 48 00 cmpw r10,r9 + f7c: 40 82 00 0c bne f88 + f80: 39 20 00 01 li r9,1 + f84: 48 00 00 08 b f8c + f88: 39 20 00 00 li r9,0 + f8c: 91 21 00 0c stw r9,12(r1) + f90: 81 21 00 0c lwz r9,12(r1) + f94: 2c 09 00 00 cmpwi r9,0 + f98: 40 82 00 10 bne fa8 + f9c: 81 21 00 08 lwz r9,8(r1) + fa0: 7d 23 4b 78 mr r3,r9 + fa4: 4b ff f8 51 bl 7f4 + fa8: 39 20 00 22 li r9,34 + fac: 91 21 00 08 stw r9,8(r1) + fb0: 48 00 00 8c b 103c + fb4: 81 21 00 08 lwz r9,8(r1) + fb8: 55 2a 10 3a rlwinm r10,r9,2,0,29 + fbc: 3d 20 00 00 lis r9,0 + fc0: 39 29 13 a0 addi r9,r9,5024 + fc4: 7d 2a 4a 14 add r9,r10,r9 + fc8: 81 29 00 00 lwz r9,0(r9) + fcc: 91 21 00 10 stw r9,16(r1) + fd0: 81 21 00 08 lwz r9,8(r1) + fd4: 55 2a 10 3a rlwinm r10,r9,2,0,29 + fd8: 3d 20 00 00 lis r9,0 + fdc: 39 29 14 40 addi r9,r9,5184 + fe0: 7d 2a 4a 14 add r9,r10,r9 + fe4: 81 29 00 00 lwz r9,0(r9) + fe8: 91 21 00 14 stw r9,20(r1) + fec: 81 21 00 0c lwz r9,12(r1) + ff0: 2c 09 00 00 cmpwi r9,0 + ff4: 41 82 00 1c beq 1010 + ff8: 81 41 00 10 lwz r10,16(r1) + ffc: 81 21 00 14 lwz r9,20(r1) + 1000: 7c 0a 48 00 cmpw r10,r9 + 1004: 40 82 00 0c bne 1010 + 1008: 39 20 00 01 li r9,1 + 100c: 48 00 00 08 b 1014 + 1010: 39 20 00 00 li r9,0 + 1014: 91 21 00 0c stw r9,12(r1) + 1018: 81 21 00 0c lwz r9,12(r1) + 101c: 2c 09 00 00 cmpwi r9,0 + 1020: 40 82 00 10 bne 1030 + 1024: 81 21 00 08 lwz r9,8(r1) + 1028: 7d 23 4b 78 mr r3,r9 + 102c: 4b ff f7 c9 bl 7f4 + 1030: 81 21 00 08 lwz r9,8(r1) + 1034: 39 29 00 01 addi r9,r9,1 + 1038: 91 21 00 08 stw r9,8(r1) + 103c: 81 21 00 08 lwz r9,8(r1) + 1040: 28 09 00 23 cmplwi r9,35 + 1044: 40 81 ff 70 ble fb4 + 1048: 4b ff f7 a9 bl 7f0 + 104c: 48 00 00 00 b 104c + +00001050 : + 1050: 94 21 ff f0 stwu r1,-16(r1) + 1054: 90 61 00 08 stw r3,8(r1) + 1058: 90 81 00 0c stw r4,12(r1) + 105c: 48 00 02 58 b 12b4 + 1060: 60 00 00 00 nop + 1064: 38 21 00 10 addi r1,r1,16 + 1068: 4e 80 00 20 blr + +0000106c : + 106c: 48 00 00 00 b 106c Disassembly of section .arcitst: -00000d00 : - d00: 69 6e 66 6f xori r14,r11,26223 - d04: 20 74 65 78 subfic r3,r20,25976 - d08: Address 0x0000000000000d08 is out of bounds. +00001080 : + 1080: 69 6e 66 6f xori r14,r11,26223 + 1084: 20 74 65 78 subfic r3,r20,25976 + 1088: Address 0x0000000000001088 is out of bounds. -00000d0a : - d0a: 68 65 61 64 xori r5,r3,24932 - d0e: 65 72 20 74 oris r18,r11,8308 - d12: 65 78 74 00 oris r24,r11,29696 +0000108a : + 108a: 68 65 61 64 xori r5,r3,24932 + 108e: 65 72 20 74 oris r18,r11,8308 + 1092: 65 78 74 00 oris r24,r11,29696 ... -00000d20 : - d20: 00 00 00 00 .long 0x0 - -00000d24 : - d24: 58 22 c9 05 rlmi. r2,r1,r25,4,2 - -00000d28 : - d28: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00000d2c : - d2c: 91 b6 d1 a3 stw r13,-11869(r22) - -00000d30 : - d30: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00000d34 : - d34: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00000d38 : - d38: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00000d3c : - d3c: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00000d40 : - d40: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00000d44 : - d44: 7e 11 ee 88 .long 0x7e11ee88 +000010a0 : + 10a0: 00 00 00 00 .long 0x0 -00000d48 : - d48: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010a4 : + 10a4: 58 22 c9 05 rlmi. r2,r1,r25,4,2 -00000d4c : - d4c: 7f ff ff ff .long 0x7fffffff - -00000d50 : - d50: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00000d54 : - d54: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00000d58 : - d58: 8c 20 bd e6 .long 0x8c20bde6 - -00000d5c : - d5c: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00000d60 : - d60: 76 d0 da df andis. r16,r22,56031 +000010a8 : + 10a8: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000d64 : - d64: 15 11 1f 42 .long 0x15111f42 +000010ac : + 10ac: 91 b6 d1 a3 stw r13,-11869(r22) -00000d68 : - d68: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010b0 : + 10b0: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000d6c : - d6c: 36 10 8e 50 addic. r16,r16,-29104 +000010b4 : + 10b4: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000d70 : - d70: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010b8 : + 10b8: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000d74 : - d74: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010bc : + 10bc: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000d78 : - d78: 32 8a 0c ed addic r20,r10,3309 +000010c0 : + 10c0: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000d7c : - d7c: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010c4 : + 10c4: 7e 11 ee 88 .long 0x7e11ee88 -00000d80 : - d80: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010c8 : + 10c8: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000d84 : - d84: af 22 4c 19 lhau r25,19481(r2) +000010cc : + 10cc: 7f ff ff ff .long 0x7fffffff -00000d88 : - d88: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010d0 : + 10d0: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000d8c : - d8c: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010d4 : + 10d4: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000d90 : - d90: d6 24 b2 7a stfsu f17,-19846(r4) +000010d8 : + 10d8: 8c 20 bd e6 .long 0x8c20bde6 -00000d94 : - d94: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010dc : + 10dc: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000d98 : - d98: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010e0 : + 10e0: 76 d0 da df andis. r16,r22,56031 -00000d9c : - d9c: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010e4 : + 10e4: 15 11 1f 42 .long 0x15111f42 -00000da0 : - da0: db fd 36 28 stfd f31,13864(r29) +000010e8 : + 10e8: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000da4 : - da4: 89 f0 00 6e lbz r15,110(r16) +000010ec : + 10ec: 36 10 8e 50 addic. r16,r16,-29104 -00000da8 : - da8: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010f0 : + 10f0: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000dac : - dac: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010f4 : + 10f4: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000db0 : - db0: ff ff ff ff fnmadd. f31,f31,f31,f31 +000010f8 : + 10f8: 32 8a 0c ed addic r20,r10,3309 -00000db4 : - db4: 00 00 11 04 .long 0x1104 +000010fc : + 10fc: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000db8 : - db8: 00 01 00 00 .long 0x10000 +00001100 : + 1100: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000dbc : - dbc: 00 00 00 00 .long 0x0 +00001104 : + 1104: af 22 4c 19 lhau r25,19481(r2) -00000dc0 : - dc0: 00 00 00 0d .long 0xd +00001108 : + 1108: ff ff ff ff fnmadd. f31,f31,f31,f31 -00000dc4 : - dc4: 7c 61 cc 14 addco r3,r1,r25 - dc8: 7d 23 05 95 addzeo. r9,r3 - dcc: 7a c3 73 92 rldcr r3,r22,r14,14 - dd0: 7e 09 4c 11 subfco. r16,r9,r9 - dd4: 7e 1c b1 15 adde. r16,r28,r22 - dd8: 7a 33 88 86 rldicr r19,r17,49,2 - ddc: 7c 60 04 d1 nego. r3,r0 - de0: 7e 09 b0 38 and r9,r16,r22 - de4: 7c 36 05 91 subfzeo. r1,r22 - de8: 7e 2b 00 d1 neg. r17,r11 - dec: 60 00 00 00 nop - df0: 60 00 00 00 nop - df4: 60 00 00 00 nop - -00000df8 : - df8: 00 01 00 00 .long 0x10000 - dfc: 00 01 00 04 .long 0x10004 - e00: 00 01 00 08 .long 0x10008 - e04: 00 01 00 0c .long 0x1000c - e08: 00 01 00 10 .long 0x10010 - e0c: 00 01 00 14 .long 0x10014 - e10: 00 01 00 18 .long 0x10018 - e14: 00 01 00 1c .long 0x1001c - e18: 00 01 00 20 .long 0x10020 - e1c: 00 01 00 24 .long 0x10024 - e20: 00 01 00 28 .long 0x10028 - e24: 00 01 00 2c .long 0x1002c - e28: 00 01 00 30 .long 0x10030 - e2c: 48 00 00 14 b e40 - e30: 60 00 00 00 nop - e34: 60 00 00 00 nop - e38: 60 00 00 00 nop - e3c: 60 00 00 00 nop - -00000e40 : - e40: 90 23 00 9c stw r1,156(r3) - -00000e44 : - e44: 80 23 00 a0 lwz r1,160(r3) - e48: 7c 29 03 a6 mtctr r1 - e4c: 38 23 00 a4 addi r1,r3,164 - e50: 38 43 00 d8 addi r2,r3,216 - -00000e54 : - e54: 80 81 00 00 lwz r4,0(r1) - e58: 80 a2 00 00 lwz r5,0(r2) - e5c: 90 85 00 00 stw r4,0(r5) - e60: 38 21 00 04 addi r1,r1,4 - e64: 38 42 00 04 addi r2,r2,4 - e68: 42 00 ff ec bdnz e54 - -00000e6c : - e6c: 3c 80 48 00 lis r4,18432 - e70: 60 84 00 06 ori r4,r4,6 - e74: 90 85 00 00 stw r4,0(r5) - e78: 80 20 0d b4 lwz r1,3508(0) - e7c: 7c 3b 03 a6 mtsrr1 r1 - e80: 80 20 0d f8 lwz r1,3576(0) - e84: 7c 3a 03 a6 mtsrr0 r1 - -00000e88 : - e88: 80 23 00 80 lwz r1,128(r3) - e8c: 7c 2f f1 20 mtcr r1 - e90: 80 23 00 84 lwz r1,132(r3) - e94: 7c 21 03 a6 mtxer r1 - e98: 80 23 00 88 lwz r1,136(r3) - e9c: 7c 29 03 a6 mtctr r1 - ea0: 80 23 00 8c lwz r1,140(r3) - ea4: 7c 28 03 a6 mtlr r1 - ea8: 80 23 00 90 lwz r1,144(r3) - eac: 7c 2f cb a6 mtspr 815,r1 - eb0: 80 03 00 00 lwz r0,0(r3) - eb4: 80 23 00 04 lwz r1,4(r3) - eb8: 80 43 00 08 lwz r2,8(r3) - ebc: 80 83 00 10 lwz r4,16(r3) - ec0: 80 a3 00 14 lwz r5,20(r3) - ec4: 80 c3 00 18 lwz r6,24(r3) - ec8: 80 e3 00 1c lwz r7,28(r3) - ecc: 81 03 00 20 lwz r8,32(r3) - ed0: 81 23 00 24 lwz r9,36(r3) - ed4: 81 43 00 28 lwz r10,40(r3) - ed8: 81 63 00 2c lwz r11,44(r3) - edc: 81 83 00 30 lwz r12,48(r3) - ee0: 81 a3 00 34 lwz r13,52(r3) - ee4: 81 c3 00 38 lwz r14,56(r3) - ee8: 81 e3 00 3c lwz r15,60(r3) - eec: 82 03 00 40 lwz r16,64(r3) - ef0: 82 23 00 44 lwz r17,68(r3) - ef4: 82 43 00 48 lwz r18,72(r3) - ef8: 82 63 00 4c lwz r19,76(r3) - efc: 82 83 00 50 lwz r20,80(r3) - f00: 82 a3 00 54 lwz r21,84(r3) - f04: 82 c3 00 58 lwz r22,88(r3) - f08: 82 e3 00 5c lwz r23,92(r3) - f0c: 83 03 00 60 lwz r24,96(r3) - f10: 83 23 00 64 lwz r25,100(r3) - f14: 83 43 00 68 lwz r26,104(r3) - f18: 83 63 00 6c lwz r27,108(r3) - f1c: 83 83 00 70 lwz r28,112(r3) - f20: 83 a3 00 74 lwz r29,116(r3) - f24: 83 c3 00 78 lwz r30,120(r3) - f28: 83 e3 00 7c lwz r31,124(r3) - f2c: 80 63 00 0c lwz r3,12(r3) - -00000f30 : - f30: 48 01 00 02 ba 10000 <_ebss> - -00000f34 : - f34: 48 00 00 0c b f40 - f38: 60 00 00 00 nop - f3c: 60 00 00 00 nop - -00000f40 : - f40: 7c 2f cb a6 mtspr 815,r1 - f44: 3c 20 00 00 lis r1,0 - f48: 60 21 10 20 ori r1,r1,4128 - f4c: 90 01 00 00 stw r0,0(r1) - f50: 90 41 00 08 stw r2,8(r1) - f54: 90 61 00 0c stw r3,12(r1) - f58: 90 81 00 10 stw r4,16(r1) - f5c: 90 a1 00 14 stw r5,20(r1) - f60: 90 c1 00 18 stw r6,24(r1) - f64: 90 e1 00 1c stw r7,28(r1) - f68: 91 01 00 20 stw r8,32(r1) - f6c: 91 21 00 24 stw r9,36(r1) - f70: 91 41 00 28 stw r10,40(r1) - f74: 91 61 00 2c stw r11,44(r1) - f78: 91 81 00 30 stw r12,48(r1) - f7c: 91 a1 00 34 stw r13,52(r1) - f80: 91 c1 00 38 stw r14,56(r1) - f84: 91 e1 00 3c stw r15,60(r1) - f88: 92 01 00 40 stw r16,64(r1) - f8c: 92 21 00 44 stw r17,68(r1) - f90: 92 41 00 48 stw r18,72(r1) - f94: 92 61 00 4c stw r19,76(r1) - f98: 92 81 00 50 stw r20,80(r1) - f9c: 92 a1 00 54 stw r21,84(r1) - fa0: 92 c1 00 58 stw r22,88(r1) - fa4: 92 e1 00 5c stw r23,92(r1) - fa8: 93 01 00 60 stw r24,96(r1) - fac: 93 21 00 64 stw r25,100(r1) - fb0: 93 41 00 68 stw r26,104(r1) - fb4: 93 61 00 6c stw r27,108(r1) - fb8: 93 81 00 70 stw r28,112(r1) - fbc: 93 a1 00 74 stw r29,116(r1) - fc0: 93 c1 00 78 stw r30,120(r1) - fc4: 93 e1 00 7c stw r31,124(r1) - fc8: 7c 4f ca a6 mfspr r2,815 - fcc: 90 41 00 04 stw r2,4(r1) - fd0: 7c 40 00 26 mfcr r2 - fd4: 90 41 00 80 stw r2,128(r1) - fd8: 7c 41 02 a6 mfxer r2 - fdc: 90 41 00 84 stw r2,132(r1) - fe0: 7c 49 02 a6 mfctr r2 - fe4: 90 41 00 88 stw r2,136(r1) - fe8: 7c 48 02 a6 mflr r2 - fec: 90 41 00 8c stw r2,140(r1) - ff0: 7c 4f ca a6 mfspr r2,815 - ff4: 90 41 00 90 stw r2,144(r1) - -00000ff8 : - ff8: 3c 60 00 00 lis r3,0 - ffc: 60 63 0d 20 ori r3,r3,3360 - 1000: 80 23 00 9c lwz r1,156(r3) - 1004: 3c 60 08 67 lis r3,2151 - 1008: 60 63 53 09 ori r3,r3,21257 - 100c: 4b ff fa d8 b ae4 - 1010: 60 00 00 00 nop - 1014: 60 00 00 00 nop - 1018: 60 00 00 00 nop - 101c: 60 00 00 00 nop - -00001020 : - 1020: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001024 : - 1024: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001028 : - 1028: ff ff ff ff fnmadd. f31,f31,f31,f31 - -0000102c : - 102c: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001030 : - 1030: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001034 : - 1034: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001038 : - 1038: ff ff ff ff fnmadd. f31,f31,f31,f31 - -0000103c : - 103c: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001040 : - 1040: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001044 : - 1044: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001048 : - 1048: ff ff ff ff fnmadd. f31,f31,f31,f31 - -0000104c : - 104c: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001050 : - 1050: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001054 : - 1054: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001058 : - 1058: ff ff ff ff fnmadd. f31,f31,f31,f31 - -0000105c : - 105c: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001060 : - 1060: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001064 : - 1064: ff ff ff ff fnmadd. f31,f31,f31,f31 - -00001068 : - 1068: ff ff ff ff fnmadd. f31,f31,f31,f31 +0000110c : + 110c: ff ff ff ff fnmadd. f31,f31,f31,f31 -0000106c : - 106c: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001110 : + 1110: d6 24 b2 7a stfsu f17,-19846(r4) -00001070 : - 1070: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001114 : + 1114: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001074 : - 1074: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001118 : + 1118: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001078 : - 1078: ff ff ff ff fnmadd. f31,f31,f31,f31 +0000111c : + 111c: ff ff ff ff fnmadd. f31,f31,f31,f31 -0000107c : - 107c: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001120 : + 1120: db fd 36 28 stfd f31,13864(r29) -00001080 : - 1080: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001124 : + 1124: 89 f0 00 6e lbz r15,110(r16) -00001084 : - 1084: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001128 : + 1128: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001088 : - 1088: ff ff ff ff fnmadd. f31,f31,f31,f31 +0000112c : + 112c: ff ff ff ff fnmadd. f31,f31,f31,f31 -0000108c : - 108c: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001130 : + 1130: ff ff ff ff fnmadd. f31,f31,f31,f31 + +00001134 : + 1134: 00 00 11 04 .long 0x1104 + +00001138 : + 1138: 00 01 00 00 .long 0x10000 + +0000113c : + 113c: 00 00 00 00 .long 0x0 + +00001140 : + 1140: 00 00 00 0d .long 0xd + +00001144 : + 1144: 7c 61 cc 14 addco r3,r1,r25 + 1148: 7d 23 05 95 addzeo. r9,r3 + 114c: 7a c3 73 92 rldcr r3,r22,r14,14 + 1150: 7e 09 4c 11 subfco. r16,r9,r9 + 1154: 7e 1c b1 15 adde. r16,r28,r22 + 1158: 7a 33 88 86 rldicr r19,r17,49,2 + 115c: 7c 60 04 d1 nego. r3,r0 + 1160: 7e 09 b0 38 and r9,r16,r22 + 1164: 7c 36 05 91 subfzeo. r1,r22 + 1168: 7e 2b 00 d1 neg. r17,r11 + 116c: 60 00 00 00 nop + 1170: 60 00 00 00 nop + 1174: 60 00 00 00 nop + +00001178 : + 1178: 00 01 00 00 .long 0x10000 + 117c: 00 01 00 04 .long 0x10004 + 1180: 00 01 00 08 .long 0x10008 + 1184: 00 01 00 0c .long 0x1000c + 1188: 00 01 00 10 .long 0x10010 + 118c: 00 01 00 14 .long 0x10014 + 1190: 00 01 00 18 .long 0x10018 + 1194: 00 01 00 1c .long 0x1001c + 1198: 00 01 00 20 .long 0x10020 + 119c: 00 01 00 24 .long 0x10024 + 11a0: 00 01 00 28 .long 0x10028 + 11a4: 00 01 00 2c .long 0x1002c + 11a8: 00 01 00 30 .long 0x10030 + 11ac: 48 00 00 14 b 11c0 + 11b0: 60 00 00 00 nop + 11b4: 60 00 00 00 nop + 11b8: 60 00 00 00 nop + 11bc: 60 00 00 00 nop + +000011c0 : + 11c0: 90 23 00 9c stw r1,156(r3) + +000011c4 : + 11c4: 80 23 00 a0 lwz r1,160(r3) + 11c8: 7c 29 03 a6 mtctr r1 + 11cc: 38 23 00 a4 addi r1,r3,164 + 11d0: 38 43 00 d8 addi r2,r3,216 + +000011d4 : + 11d4: 80 81 00 00 lwz r4,0(r1) + 11d8: 80 a2 00 00 lwz r5,0(r2) + 11dc: 90 85 00 00 stw r4,0(r5) + 11e0: 38 21 00 04 addi r1,r1,4 + 11e4: 38 42 00 04 addi r2,r2,4 + 11e8: 42 00 ff ec bdnz 11d4 + +000011ec : + 11ec: 3c 80 48 00 lis r4,18432 + 11f0: 60 84 00 06 ori r4,r4,6 + 11f4: 90 85 00 00 stw r4,0(r5) + 11f8: 80 20 11 34 lwz r1,4404(0) + 11fc: 7c 3b 03 a6 mtsrr1 r1 + 1200: 80 20 11 78 lwz r1,4472(0) + 1204: 7c 3a 03 a6 mtsrr0 r1 + +00001208 : + 1208: 80 23 00 80 lwz r1,128(r3) + 120c: 7c 2f f1 20 mtcr r1 + 1210: 80 23 00 84 lwz r1,132(r3) + 1214: 7c 21 03 a6 mtxer r1 + 1218: 80 23 00 88 lwz r1,136(r3) + 121c: 7c 29 03 a6 mtctr r1 + 1220: 80 23 00 8c lwz r1,140(r3) + 1224: 7c 28 03 a6 mtlr r1 + 1228: 80 23 00 90 lwz r1,144(r3) + 122c: 7c 2f cb a6 mtspr 815,r1 + 1230: 80 03 00 00 lwz r0,0(r3) + 1234: 80 23 00 04 lwz r1,4(r3) + 1238: 80 43 00 08 lwz r2,8(r3) + 123c: 80 83 00 10 lwz r4,16(r3) + 1240: 80 a3 00 14 lwz r5,20(r3) + 1244: 80 c3 00 18 lwz r6,24(r3) + 1248: 80 e3 00 1c lwz r7,28(r3) + 124c: 81 03 00 20 lwz r8,32(r3) + 1250: 81 23 00 24 lwz r9,36(r3) + 1254: 81 43 00 28 lwz r10,40(r3) + 1258: 81 63 00 2c lwz r11,44(r3) + 125c: 81 83 00 30 lwz r12,48(r3) + 1260: 81 a3 00 34 lwz r13,52(r3) + 1264: 81 c3 00 38 lwz r14,56(r3) + 1268: 81 e3 00 3c lwz r15,60(r3) + 126c: 82 03 00 40 lwz r16,64(r3) + 1270: 82 23 00 44 lwz r17,68(r3) + 1274: 82 43 00 48 lwz r18,72(r3) + 1278: 82 63 00 4c lwz r19,76(r3) + 127c: 82 83 00 50 lwz r20,80(r3) + 1280: 82 a3 00 54 lwz r21,84(r3) + 1284: 82 c3 00 58 lwz r22,88(r3) + 1288: 82 e3 00 5c lwz r23,92(r3) + 128c: 83 03 00 60 lwz r24,96(r3) + 1290: 83 23 00 64 lwz r25,100(r3) + 1294: 83 43 00 68 lwz r26,104(r3) + 1298: 83 63 00 6c lwz r27,108(r3) + 129c: 83 83 00 70 lwz r28,112(r3) + 12a0: 83 a3 00 74 lwz r29,116(r3) + 12a4: 83 c3 00 78 lwz r30,120(r3) + 12a8: 83 e3 00 7c lwz r31,124(r3) + 12ac: 80 63 00 0c lwz r3,12(r3) + +000012b0 : + 12b0: 4c 00 00 64 rfi + +000012b4 : + 12b4: 48 00 00 0c b 12c0 + 12b8: 60 00 00 00 nop + 12bc: 60 00 00 00 nop + +000012c0 : + 12c0: 7c 2f cb a6 mtspr 815,r1 + 12c4: 3c 20 00 00 lis r1,0 + 12c8: 60 21 13 a0 ori r1,r1,5024 + 12cc: 90 01 00 00 stw r0,0(r1) + 12d0: 90 41 00 08 stw r2,8(r1) + 12d4: 90 61 00 0c stw r3,12(r1) + 12d8: 90 81 00 10 stw r4,16(r1) + 12dc: 90 a1 00 14 stw r5,20(r1) + 12e0: 90 c1 00 18 stw r6,24(r1) + 12e4: 90 e1 00 1c stw r7,28(r1) + 12e8: 91 01 00 20 stw r8,32(r1) + 12ec: 91 21 00 24 stw r9,36(r1) + 12f0: 91 41 00 28 stw r10,40(r1) + 12f4: 91 61 00 2c stw r11,44(r1) + 12f8: 91 81 00 30 stw r12,48(r1) + 12fc: 91 a1 00 34 stw r13,52(r1) + 1300: 91 c1 00 38 stw r14,56(r1) + 1304: 91 e1 00 3c stw r15,60(r1) + 1308: 92 01 00 40 stw r16,64(r1) + 130c: 92 21 00 44 stw r17,68(r1) + 1310: 92 41 00 48 stw r18,72(r1) + 1314: 92 61 00 4c stw r19,76(r1) + 1318: 92 81 00 50 stw r20,80(r1) + 131c: 92 a1 00 54 stw r21,84(r1) + 1320: 92 c1 00 58 stw r22,88(r1) + 1324: 92 e1 00 5c stw r23,92(r1) + 1328: 93 01 00 60 stw r24,96(r1) + 132c: 93 21 00 64 stw r25,100(r1) + 1330: 93 41 00 68 stw r26,104(r1) + 1334: 93 61 00 6c stw r27,108(r1) + 1338: 93 81 00 70 stw r28,112(r1) + 133c: 93 a1 00 74 stw r29,116(r1) + 1340: 93 c1 00 78 stw r30,120(r1) + 1344: 93 e1 00 7c stw r31,124(r1) + 1348: 7c 4f ca a6 mfspr r2,815 + 134c: 90 41 00 04 stw r2,4(r1) + 1350: 7c 40 00 26 mfcr r2 + 1354: 90 41 00 80 stw r2,128(r1) + 1358: 7c 41 02 a6 mfxer r2 + 135c: 90 41 00 84 stw r2,132(r1) + 1360: 7c 49 02 a6 mfctr r2 + 1364: 90 41 00 88 stw r2,136(r1) + 1368: 7c 48 02 a6 mflr r2 + 136c: 90 41 00 8c stw r2,140(r1) + 1370: 7c 4f ca a6 mfspr r2,815 + 1374: 90 41 00 90 stw r2,144(r1) + +00001378 : + 1378: 3c 60 00 00 lis r3,0 + 137c: 60 63 10 a0 ori r3,r3,4256 + 1380: 80 23 00 9c lwz r1,156(r3) + 1384: 3c 60 08 67 lis r3,2151 + 1388: 60 63 53 09 ori r3,r3,21257 + 138c: 48 00 0e 4f bla e4c + 1390: 60 00 00 00 nop + 1394: 60 00 00 00 nop + 1398: 60 00 00 00 nop + 139c: 60 00 00 00 nop + +000013a0 : + 13a0: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013a4 : + 13a4: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013a8 : + 13a8: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013ac : + 13ac: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013b0 : + 13b0: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013b4 : + 13b4: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013b8 : + 13b8: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013bc : + 13bc: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013c0 : + 13c0: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013c4 : + 13c4: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013c8 : + 13c8: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013cc : + 13cc: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013d0 : + 13d0: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013d4 : + 13d4: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013d8 : + 13d8: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000013dc : + 13dc: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001090 : - 1090: ff ff ff ff fnmadd. f31,f31,f31,f31 +000013e0 : + 13e0: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001094 : - 1094: ff ff ff ff fnmadd. f31,f31,f31,f31 +000013e4 : + 13e4: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001098 : - 1098: ff ff ff ff fnmadd. f31,f31,f31,f31 +000013e8 : + 13e8: ff ff ff ff fnmadd. f31,f31,f31,f31 -0000109c : - 109c: ff ff ff ff fnmadd. f31,f31,f31,f31 +000013ec : + 13ec: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010a0 : - 10a0: ff ff ff ff fnmadd. f31,f31,f31,f31 +000013f0 : + 13f0: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010a4 : - 10a4: ff ff ff ff fnmadd. f31,f31,f31,f31 +000013f4 : + 13f4: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010a8 : - 10a8: ff ff ff ff fnmadd. f31,f31,f31,f31 +000013f8 : + 13f8: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010ac : - 10ac: ff ff ff ff fnmadd. f31,f31,f31,f31 +000013fc : + 13fc: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010b0 : - 10b0: ff ff ff ff fnmadd. f31,f31,f31,f31 - 10b4: 60 00 00 00 nop - 10b8: 60 00 00 00 nop - 10bc: 60 00 00 00 nop +00001400 : + 1400: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010c0 : - 10c0: 00 00 00 00 .long 0x0 +00001404 : + 1404: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010c4 : - 10c4: cd 75 f3 13 lfdu f11,-3309(r21) +00001408 : + 1408: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010c8 : - 10c8: ff ff ff ff fnmadd. f31,f31,f31,f31 +0000140c : + 140c: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010cc : - 10cc: 00 00 00 00 .long 0x0 +00001410 : + 1410: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010d0 : - 10d0: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001414 : + 1414: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010d4 : - 10d4: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001418 : + 1418: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010d8 : - 10d8: ff ff ff ff fnmadd. f31,f31,f31,f31 +0000141c : + 141c: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010dc : - 10dc: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001420 : + 1420: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010e0 : - 10e0: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001424 : + 1424: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010e4 : - 10e4: 00 8a 0c 68 .long 0x8a0c68 +00001428 : + 1428: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010e8 : - 10e8: ff ff ff ff fnmadd. f31,f31,f31,f31 +0000142c : + 142c: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010ec : - 10ec: 7f ff ff ff .long 0x7fffffff +00001430 : + 1430: ff ff ff ff fnmadd. f31,f31,f31,f31 + 1434: 60 00 00 00 nop + 1438: 60 00 00 00 nop + 143c: 60 00 00 00 nop -000010f0 : - 10f0: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001440 : + 1440: 00 00 00 00 .long 0x0 -000010f4 : - 10f4: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001444 : + 1444: cd 75 f3 13 lfdu f11,-3309(r21) -000010f8 : - 10f8: 8c 20 bd e6 .long 0x8c20bde6 +00001448 : + 1448: ff ff ff ff fnmadd. f31,f31,f31,f31 -000010fc : - 10fc: ff ff ff ff fnmadd. f31,f31,f31,f31 +0000144c : + 144c: 00 00 00 00 .long 0x0 -00001100 : - 1100: 08 ae bf 68 tdlgei r14,-16536 +00001450 : + 1450: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001104 : - 1104: 80 00 00 01 lwz r0,1(0) +00001454 : + 1454: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001108 : - 1108: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001458 : + 1458: ff ff ff ff fnmadd. f31,f31,f31,f31 -0000110c : - 110c: 00 00 00 00 .long 0x0 +0000145c : + 145c: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001110 : - 1110: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001460 : + 1460: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001114 : - 1114: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001464 : + 1464: 00 8a 0c 68 .long 0x8a0c68 -00001118 : - 1118: 32 8a 0c ed addic r20,r10,3309 +00001468 : + 1468: ff ff ff ff fnmadd. f31,f31,f31,f31 -0000111c : - 111c: ff ff ff ff fnmadd. f31,f31,f31,f31 +0000146c : + 146c: 7f ff ff ff .long 0x7fffffff -00001120 : - 1120: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001470 : + 1470: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001124 : - 1124: af 22 4c 19 lhau r25,19481(r2) +00001474 : + 1474: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001128 : - 1128: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001478 : + 1478: 8c 20 bd e6 .long 0x8c20bde6 -0000112c : - 112c: ff ff ff ff fnmadd. f31,f31,f31,f31 +0000147c : + 147c: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001130 : - 1130: d6 24 b2 7a stfsu f17,-19846(r4) +00001480 : + 1480: 08 ae bf 68 tdlgei r14,-16536 -00001134 : - 1134: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001484 : + 1484: 80 00 00 01 lwz r0,1(0) -00001138 : - 1138: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001488 : + 1488: ff ff ff ff fnmadd. f31,f31,f31,f31 -0000113c : - 113c: ff ff ff ff fnmadd. f31,f31,f31,f31 +0000148c : + 148c: 00 00 00 00 .long 0x0 -00001140 : - 1140: 9b fd 36 28 stb r31,13864(r29) +00001490 : + 1490: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001144 : - 1144: 98 f0 00 6e stb r7,110(r16) +00001494 : + 1494: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001148 : - 1148: ff ff ff ff fnmadd. f31,f31,f31,f31 +00001498 : + 1498: 32 8a 0c ed addic r20,r10,3309 -0000114c : - 114c: ff ff ff ff fnmadd. f31,f31,f31,f31 +0000149c : + 149c: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001150 : - 1150: ff ff ff ff fnmadd. f31,f31,f31,f31 +000014a0 : + 14a0: ff ff ff ff fnmadd. f31,f31,f31,f31 -00001154 : - 1154: 00 00 11 04 .long 0x1104 +000014a4 : + 14a4: af 22 4c 19 lhau r25,19481(r2) -00001158 : - 1158: 00 01 00 38 .long 0x10038 +000014a8 : + 14a8: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000014ac : + 14ac: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000014b0 : + 14b0: d6 24 b2 7a stfsu f17,-19846(r4) + +000014b4 : + 14b4: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000014b8 : + 14b8: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000014bc : + 14bc: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000014c0 : + 14c0: 9b fd 36 28 stb r31,13864(r29) + +000014c4 : + 14c4: 98 f0 00 6e stb r7,110(r16) + +000014c8 : + 14c8: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000014cc : + 14cc: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000014d0 : + 14d0: ff ff ff ff fnmadd. f31,f31,f31,f31 + +000014d4 : + 14d4: 00 00 11 04 .long 0x1104 + +000014d8 : + 14d8: 00 01 00 38 .long 0x10038 + +Disassembly of section .text: + +000014dc <__toupper>: + 14dc: 94 21 ff f0 stwu r1,-16(r1) + 14e0: 7c 69 1b 78 mr r9,r3 + 14e4: 99 21 00 08 stb r9,8(r1) + 14e8: 89 21 00 08 lbz r9,8(r1) + 14ec: 3d 40 00 00 lis r10,0 + 14f0: 39 4a 09 04 addi r10,r10,2308 + 14f4: 7d 2a 48 ae lbzx r9,r10,r9 + 14f8: 55 29 07 bc rlwinm r9,r9,0,30,30 + 14fc: 2c 09 00 00 cmpwi r9,0 + 1500: 41 82 00 10 beq 1510 <__toupper+0x34> + 1504: 89 21 00 08 lbz r9,8(r1) + 1508: 39 29 ff e0 addi r9,r9,-32 + 150c: 99 21 00 08 stb r9,8(r1) + 1510: 89 21 00 08 lbz r9,8(r1) + 1514: 7d 23 4b 78 mr r3,r9 + 1518: 38 21 00 10 addi r1,r1,16 + 151c: 4e 80 00 20 blr + +00001520 <__bswap_16>: + 1520: 94 21 ff f0 stwu r1,-16(r1) + 1524: 7c 69 1b 78 mr r9,r3 + 1528: b1 21 00 08 sth r9,8(r1) + 152c: a1 21 00 08 lhz r9,8(r1) + 1530: 55 29 40 2e rlwinm r9,r9,8,0,23 + 1534: 7d 2a 07 34 extsh r10,r9 + 1538: a1 21 00 08 lhz r9,8(r1) + 153c: 55 29 c2 3e rlwinm r9,r9,24,8,31 + 1540: 55 29 04 3e clrlwi r9,r9,16 + 1544: 7d 29 07 34 extsh r9,r9 + 1548: 7d 49 4b 78 or r9,r10,r9 + 154c: 7d 29 07 34 extsh r9,r9 + 1550: 55 29 04 3e clrlwi r9,r9,16 + 1554: 7d 23 4b 78 mr r3,r9 + 1558: 38 21 00 10 addi r1,r1,16 + 155c: 4e 80 00 20 blr + +00001560 <__bswap_32>: + 1560: 94 21 ff f0 stwu r1,-16(r1) + 1564: 90 61 00 08 stw r3,8(r1) + 1568: 81 21 00 08 lwz r9,8(r1) + 156c: 55 2a 46 3e rlwinm r10,r9,8,24,31 + 1570: 81 21 00 08 lwz r9,8(r1) + 1574: 55 29 c2 3e rlwinm r9,r9,24,8,31 + 1578: 55 29 04 2e rlwinm r9,r9,0,16,23 + 157c: 7d 4a 4b 78 or r10,r10,r9 + 1580: 81 21 00 08 lwz r9,8(r1) + 1584: 55 29 40 2e rlwinm r9,r9,8,0,23 + 1588: 55 29 02 1e rlwinm r9,r9,0,8,15 + 158c: 7d 4a 4b 78 or r10,r10,r9 + 1590: 81 21 00 08 lwz r9,8(r1) + 1594: 55 29 c0 0e rlwinm r9,r9,24,0,7 + 1598: 7d 49 4b 78 or r9,r10,r9 + 159c: 7d 23 4b 78 mr r3,r9 + 15a0: 38 21 00 10 addi r1,r1,16 + 15a4: 4e 80 00 20 blr + +000015a8 : + 15a8: 94 21 ff f0 stwu r1,-16(r1) + 15ac: 90 61 00 08 stw r3,8(r1) + 15b0: 90 81 00 0c stw r4,12(r1) + 15b4: 48 00 00 28 b 15dc + 15b8: 81 21 00 08 lwz r9,8(r1) + 15bc: 89 29 00 00 lbz r9,0(r9) + 15c0: 2c 09 00 00 cmpwi r9,0 + 15c4: 40 82 00 0c bne 15d0 + 15c8: 39 20 00 00 li r9,0 + 15cc: 48 00 00 2c b 15f8 + 15d0: 81 21 00 08 lwz r9,8(r1) + 15d4: 39 29 00 01 addi r9,r9,1 + 15d8: 91 21 00 08 stw r9,8(r1) + 15dc: 81 21 00 08 lwz r9,8(r1) + 15e0: 89 49 00 00 lbz r10,0(r9) + 15e4: 81 21 00 0c lwz r9,12(r1) + 15e8: 55 29 06 3e clrlwi r9,r9,24 + 15ec: 7c 0a 48 40 cmplw r10,r9 + 15f0: 40 82 ff c8 bne 15b8 + 15f4: 81 21 00 08 lwz r9,8(r1) + 15f8: 7d 23 4b 78 mr r3,r9 + 15fc: 38 21 00 10 addi r1,r1,16 + 1600: 4e 80 00 20 blr + +00001604 : + 1604: 94 21 ff e0 stwu r1,-32(r1) + 1608: 90 61 00 18 stw r3,24(r1) + 160c: 90 81 00 1c stw r4,28(r1) + 1610: 81 21 00 18 lwz r9,24(r1) + 1614: 91 21 00 08 stw r9,8(r1) + 1618: 48 00 00 58 b 1670 + 161c: 81 21 00 1c lwz r9,28(r1) + 1620: 91 21 00 0c stw r9,12(r1) + 1624: 48 00 00 30 b 1654 + 1628: 81 21 00 08 lwz r9,8(r1) + 162c: 89 49 00 00 lbz r10,0(r9) + 1630: 81 21 00 0c lwz r9,12(r1) + 1634: 89 29 00 00 lbz r9,0(r9) + 1638: 7c 0a 48 40 cmplw r10,r9 + 163c: 40 82 00 0c bne 1648 + 1640: 81 21 00 08 lwz r9,8(r1) + 1644: 48 00 00 40 b 1684 + 1648: 81 21 00 0c lwz r9,12(r1) + 164c: 39 29 00 01 addi r9,r9,1 + 1650: 91 21 00 0c stw r9,12(r1) + 1654: 81 21 00 0c lwz r9,12(r1) + 1658: 89 29 00 00 lbz r9,0(r9) + 165c: 2c 09 00 00 cmpwi r9,0 + 1660: 40 82 ff c8 bne 1628 + 1664: 81 21 00 08 lwz r9,8(r1) + 1668: 39 29 00 01 addi r9,r9,1 + 166c: 91 21 00 08 stw r9,8(r1) + 1670: 81 21 00 08 lwz r9,8(r1) + 1674: 89 29 00 00 lbz r9,0(r9) + 1678: 2c 09 00 00 cmpwi r9,0 + 167c: 40 82 ff a0 bne 161c + 1680: 39 20 00 00 li r9,0 + 1684: 7d 23 4b 78 mr r3,r9 + 1688: 38 21 00 20 addi r1,r1,32 + 168c: 4e 80 00 20 blr + +00001690 : + 1690: 94 21 ff e0 stwu r1,-32(r1) + 1694: 7c 08 02 a6 mflr r0 + 1698: 90 01 00 24 stw r0,36(r1) + 169c: 90 61 00 18 stw r3,24(r1) + 16a0: 90 81 00 1c stw r4,28(r1) + 16a4: 80 61 00 18 lwz r3,24(r1) + 16a8: 48 00 03 dd bl 1a84 + 16ac: 7c 6a 1b 78 mr r10,r3 + 16b0: 81 21 00 18 lwz r9,24(r1) + 16b4: 7d 29 52 14 add r9,r9,r10 + 16b8: 91 21 00 08 stw r9,8(r1) + 16bc: 81 21 00 08 lwz r9,8(r1) + 16c0: 89 49 00 00 lbz r10,0(r9) + 16c4: 81 21 00 1c lwz r9,28(r1) + 16c8: 55 29 06 3e clrlwi r9,r9,24 + 16cc: 7c 0a 48 40 cmplw r10,r9 + 16d0: 40 82 00 0c bne 16dc + 16d4: 81 21 00 08 lwz r9,8(r1) + 16d8: 48 00 00 24 b 16fc + 16dc: 81 21 00 08 lwz r9,8(r1) + 16e0: 39 29 ff ff addi r9,r9,-1 + 16e4: 91 21 00 08 stw r9,8(r1) + 16e8: 81 41 00 08 lwz r10,8(r1) + 16ec: 81 21 00 18 lwz r9,24(r1) + 16f0: 7c 0a 48 40 cmplw r10,r9 + 16f4: 40 80 ff c8 bge 16bc + 16f8: 39 20 00 00 li r9,0 + 16fc: 7d 23 4b 78 mr r3,r9 + 1700: 80 01 00 24 lwz r0,36(r1) + 1704: 7c 08 03 a6 mtlr r0 + 1708: 38 21 00 20 addi r1,r1,32 + 170c: 4e 80 00 20 blr + +00001710 : + 1710: 94 21 ff e0 stwu r1,-32(r1) + 1714: 90 61 00 08 stw r3,8(r1) + 1718: 90 81 00 0c stw r4,12(r1) + 171c: 90 a1 00 10 stw r5,16(r1) + 1720: 48 00 00 30 b 1750 + 1724: 81 21 00 08 lwz r9,8(r1) + 1728: 89 49 00 00 lbz r10,0(r9) + 172c: 81 21 00 10 lwz r9,16(r1) + 1730: 55 29 06 3e clrlwi r9,r9,24 + 1734: 7c 0a 48 40 cmplw r10,r9 + 1738: 40 82 00 0c bne 1744 + 173c: 81 21 00 08 lwz r9,8(r1) + 1740: 48 00 00 38 b 1778 + 1744: 81 21 00 08 lwz r9,8(r1) + 1748: 39 29 00 01 addi r9,r9,1 + 174c: 91 21 00 08 stw r9,8(r1) + 1750: 81 21 00 0c lwz r9,12(r1) + 1754: 39 49 ff ff addi r10,r9,-1 + 1758: 91 41 00 0c stw r10,12(r1) + 175c: 2c 09 00 00 cmpwi r9,0 + 1760: 41 82 00 14 beq 1774 + 1764: 81 21 00 08 lwz r9,8(r1) + 1768: 89 29 00 00 lbz r9,0(r9) + 176c: 2c 09 00 00 cmpwi r9,0 + 1770: 40 82 ff b4 bne 1724 + 1774: 39 20 00 00 li r9,0 + 1778: 7d 23 4b 78 mr r3,r9 + 177c: 38 21 00 20 addi r1,r1,32 + 1780: 4e 80 00 20 blr + +00001784 : + 1784: 94 21 ff e0 stwu r1,-32(r1) + 1788: 90 61 00 18 stw r3,24(r1) + 178c: 90 81 00 1c stw r4,28(r1) + 1790: 81 21 00 18 lwz r9,24(r1) + 1794: 91 21 00 08 stw r9,8(r1) + 1798: 60 00 00 00 nop + 179c: 81 41 00 1c lwz r10,28(r1) + 17a0: 39 2a 00 01 addi r9,r10,1 + 17a4: 91 21 00 1c stw r9,28(r1) + 17a8: 81 21 00 18 lwz r9,24(r1) + 17ac: 39 09 00 01 addi r8,r9,1 + 17b0: 91 01 00 18 stw r8,24(r1) + 17b4: 89 4a 00 00 lbz r10,0(r10) + 17b8: 99 49 00 00 stb r10,0(r9) + 17bc: 89 29 00 00 lbz r9,0(r9) + 17c0: 2c 09 00 00 cmpwi r9,0 + 17c4: 40 82 ff d8 bne 179c + 17c8: 81 21 00 08 lwz r9,8(r1) + 17cc: 7d 23 4b 78 mr r3,r9 + 17d0: 38 21 00 20 addi r1,r1,32 + 17d4: 4e 80 00 20 blr + +000017d8 : + 17d8: 94 21 ff d0 stwu r1,-48(r1) + 17dc: 90 61 00 18 stw r3,24(r1) + 17e0: 90 81 00 1c stw r4,28(r1) + 17e4: 90 a1 00 20 stw r5,32(r1) + 17e8: 81 21 00 18 lwz r9,24(r1) + 17ec: 91 21 00 08 stw r9,8(r1) + 17f0: 48 00 00 48 b 1838 + 17f4: 81 21 00 1c lwz r9,28(r1) + 17f8: 89 49 00 00 lbz r10,0(r9) + 17fc: 81 21 00 08 lwz r9,8(r1) + 1800: 99 49 00 00 stb r10,0(r9) + 1804: 81 21 00 08 lwz r9,8(r1) + 1808: 89 29 00 00 lbz r9,0(r9) + 180c: 2c 09 00 00 cmpwi r9,0 + 1810: 41 82 00 10 beq 1820 + 1814: 81 21 00 1c lwz r9,28(r1) + 1818: 39 29 00 01 addi r9,r9,1 + 181c: 91 21 00 1c stw r9,28(r1) + 1820: 81 21 00 08 lwz r9,8(r1) + 1824: 39 29 00 01 addi r9,r9,1 + 1828: 91 21 00 08 stw r9,8(r1) + 182c: 81 21 00 20 lwz r9,32(r1) + 1830: 39 29 ff ff addi r9,r9,-1 + 1834: 91 21 00 20 stw r9,32(r1) + 1838: 81 21 00 20 lwz r9,32(r1) + 183c: 2c 09 00 00 cmpwi r9,0 + 1840: 40 82 ff b4 bne 17f4 + 1844: 81 21 00 18 lwz r9,24(r1) + 1848: 7d 23 4b 78 mr r3,r9 + 184c: 38 21 00 30 addi r1,r1,48 + 1850: 4e 80 00 20 blr + +00001854 : + 1854: 94 21 ff e0 stwu r1,-32(r1) + 1858: 90 61 00 18 stw r3,24(r1) + 185c: 90 81 00 1c stw r4,28(r1) + 1860: 81 21 00 18 lwz r9,24(r1) + 1864: 89 49 00 00 lbz r10,0(r9) + 1868: 81 21 00 1c lwz r9,28(r1) + 186c: 39 09 00 01 addi r8,r9,1 + 1870: 91 01 00 1c stw r8,28(r1) + 1874: 89 29 00 00 lbz r9,0(r9) + 1878: 7d 29 50 50 subf r9,r9,r10 + 187c: 55 29 06 3e clrlwi r9,r9,24 + 1880: 99 21 00 08 stb r9,8(r1) + 1884: 89 21 00 08 lbz r9,8(r1) + 1888: 7d 29 07 74 extsb r9,r9 + 188c: 2c 09 00 00 cmpwi r9,0 + 1890: 40 82 00 20 bne 18b0 + 1894: 81 21 00 18 lwz r9,24(r1) + 1898: 39 49 00 01 addi r10,r9,1 + 189c: 91 41 00 18 stw r10,24(r1) + 18a0: 89 29 00 00 lbz r9,0(r9) + 18a4: 2c 09 00 00 cmpwi r9,0 + 18a8: 41 82 00 08 beq 18b0 + 18ac: 4b ff ff b4 b 1860 + 18b0: 89 21 00 08 lbz r9,8(r1) + 18b4: 7d 29 07 74 extsb r9,r9 + 18b8: 7d 23 4b 78 mr r3,r9 + 18bc: 38 21 00 20 addi r1,r1,32 + 18c0: 4e 80 00 20 blr + +000018c4 : + 18c4: 94 21 ff d0 stwu r1,-48(r1) + 18c8: 90 61 00 18 stw r3,24(r1) + 18cc: 90 81 00 1c stw r4,28(r1) + 18d0: 90 a1 00 20 stw r5,32(r1) + 18d4: 39 20 00 00 li r9,0 + 18d8: 91 21 00 0c stw r9,12(r1) + 18dc: 39 20 00 00 li r9,0 + 18e0: 99 21 00 08 stb r9,8(r1) + 18e4: 48 00 00 5c b 1940 + 18e8: 81 21 00 18 lwz r9,24(r1) + 18ec: 89 49 00 00 lbz r10,0(r9) + 18f0: 81 21 00 1c lwz r9,28(r1) + 18f4: 39 09 00 01 addi r8,r9,1 + 18f8: 91 01 00 1c stw r8,28(r1) + 18fc: 89 29 00 00 lbz r9,0(r9) + 1900: 7d 29 50 50 subf r9,r9,r10 + 1904: 55 29 06 3e clrlwi r9,r9,24 + 1908: 99 21 00 08 stb r9,8(r1) + 190c: 89 21 00 08 lbz r9,8(r1) + 1910: 7d 29 07 74 extsb r9,r9 + 1914: 2c 09 00 00 cmpwi r9,0 + 1918: 40 82 00 38 bne 1950 + 191c: 81 21 00 18 lwz r9,24(r1) + 1920: 39 49 00 01 addi r10,r9,1 + 1924: 91 41 00 18 stw r10,24(r1) + 1928: 89 29 00 00 lbz r9,0(r9) + 192c: 2c 09 00 00 cmpwi r9,0 + 1930: 41 82 00 20 beq 1950 + 1934: 81 21 00 0c lwz r9,12(r1) + 1938: 39 29 00 01 addi r9,r9,1 + 193c: 91 21 00 0c stw r9,12(r1) + 1940: 81 41 00 0c lwz r10,12(r1) + 1944: 81 21 00 20 lwz r9,32(r1) + 1948: 7c 0a 48 40 cmplw r10,r9 + 194c: 41 80 ff 9c blt 18e8 + 1950: 89 21 00 08 lbz r9,8(r1) + 1954: 7d 29 07 74 extsb r9,r9 + 1958: 7d 23 4b 78 mr r3,r9 + 195c: 38 21 00 30 addi r1,r1,48 + 1960: 4e 80 00 20 blr + +00001964 : + 1964: 94 21 ff e0 stwu r1,-32(r1) + 1968: 90 61 00 18 stw r3,24(r1) + 196c: 90 81 00 1c stw r4,28(r1) + 1970: 81 21 00 18 lwz r9,24(r1) + 1974: 91 21 00 08 stw r9,8(r1) + 1978: 48 00 00 10 b 1988 + 197c: 81 21 00 18 lwz r9,24(r1) + 1980: 39 29 00 01 addi r9,r9,1 + 1984: 91 21 00 18 stw r9,24(r1) + 1988: 81 21 00 18 lwz r9,24(r1) + 198c: 89 29 00 00 lbz r9,0(r9) + 1990: 2c 09 00 00 cmpwi r9,0 + 1994: 40 82 ff e8 bne 197c + 1998: 60 00 00 00 nop + 199c: 81 41 00 1c lwz r10,28(r1) + 19a0: 39 2a 00 01 addi r9,r10,1 + 19a4: 91 21 00 1c stw r9,28(r1) + 19a8: 81 21 00 18 lwz r9,24(r1) + 19ac: 39 09 00 01 addi r8,r9,1 + 19b0: 91 01 00 18 stw r8,24(r1) + 19b4: 89 4a 00 00 lbz r10,0(r10) + 19b8: 99 49 00 00 stb r10,0(r9) + 19bc: 89 29 00 00 lbz r9,0(r9) + 19c0: 2c 09 00 00 cmpwi r9,0 + 19c4: 40 82 ff d8 bne 199c + 19c8: 81 21 00 08 lwz r9,8(r1) + 19cc: 7d 23 4b 78 mr r3,r9 + 19d0: 38 21 00 20 addi r1,r1,32 + 19d4: 4e 80 00 20 blr + +000019d8 : + 19d8: 94 21 ff d0 stwu r1,-48(r1) + 19dc: 90 61 00 18 stw r3,24(r1) + 19e0: 90 81 00 1c stw r4,28(r1) + 19e4: 90 a1 00 20 stw r5,32(r1) + 19e8: 81 21 00 18 lwz r9,24(r1) + 19ec: 91 21 00 08 stw r9,8(r1) + 19f0: 81 21 00 20 lwz r9,32(r1) + 19f4: 2c 09 00 00 cmpwi r9,0 + 19f8: 41 82 00 7c beq 1a74 + 19fc: 48 00 00 10 b 1a0c + 1a00: 81 21 00 18 lwz r9,24(r1) + 1a04: 39 29 00 01 addi r9,r9,1 + 1a08: 91 21 00 18 stw r9,24(r1) + 1a0c: 81 21 00 18 lwz r9,24(r1) + 1a10: 89 29 00 00 lbz r9,0(r9) + 1a14: 2c 09 00 00 cmpwi r9,0 + 1a18: 40 82 ff e8 bne 1a00 + 1a1c: 48 00 00 2c b 1a48 + 1a20: 81 21 00 20 lwz r9,32(r1) + 1a24: 39 29 ff ff addi r9,r9,-1 + 1a28: 91 21 00 20 stw r9,32(r1) + 1a2c: 81 21 00 20 lwz r9,32(r1) + 1a30: 2c 09 00 00 cmpwi r9,0 + 1a34: 40 82 00 14 bne 1a48 + 1a38: 81 21 00 18 lwz r9,24(r1) + 1a3c: 39 40 00 00 li r10,0 + 1a40: 99 49 00 00 stb r10,0(r9) + 1a44: 48 00 00 30 b 1a74 + 1a48: 81 41 00 1c lwz r10,28(r1) + 1a4c: 39 2a 00 01 addi r9,r10,1 + 1a50: 91 21 00 1c stw r9,28(r1) + 1a54: 81 21 00 18 lwz r9,24(r1) + 1a58: 39 09 00 01 addi r8,r9,1 + 1a5c: 91 01 00 18 stw r8,24(r1) + 1a60: 89 4a 00 00 lbz r10,0(r10) + 1a64: 99 49 00 00 stb r10,0(r9) + 1a68: 89 29 00 00 lbz r9,0(r9) + 1a6c: 2c 09 00 00 cmpwi r9,0 + 1a70: 40 82 ff b0 bne 1a20 + 1a74: 81 21 00 08 lwz r9,8(r1) + 1a78: 7d 23 4b 78 mr r3,r9 + 1a7c: 38 21 00 30 addi r1,r1,48 + 1a80: 4e 80 00 20 blr + +00001a84 : + 1a84: 94 21 ff e0 stwu r1,-32(r1) + 1a88: 90 61 00 18 stw r3,24(r1) + 1a8c: 81 21 00 18 lwz r9,24(r1) + 1a90: 91 21 00 08 stw r9,8(r1) + 1a94: 48 00 00 10 b 1aa4 + 1a98: 81 21 00 08 lwz r9,8(r1) + 1a9c: 39 29 00 01 addi r9,r9,1 + 1aa0: 91 21 00 08 stw r9,8(r1) + 1aa4: 81 21 00 08 lwz r9,8(r1) + 1aa8: 89 29 00 00 lbz r9,0(r9) + 1aac: 2c 09 00 00 cmpwi r9,0 + 1ab0: 40 82 ff e8 bne 1a98 + 1ab4: 81 41 00 08 lwz r10,8(r1) + 1ab8: 81 21 00 18 lwz r9,24(r1) + 1abc: 7d 29 50 50 subf r9,r9,r10 + 1ac0: 7d 23 4b 78 mr r3,r9 + 1ac4: 38 21 00 20 addi r1,r1,32 + 1ac8: 4e 80 00 20 blr + +00001acc : + 1acc: 94 21 ff e0 stwu r1,-32(r1) + 1ad0: 90 61 00 18 stw r3,24(r1) + 1ad4: 90 81 00 1c stw r4,28(r1) + 1ad8: 81 21 00 18 lwz r9,24(r1) + 1adc: 91 21 00 08 stw r9,8(r1) + 1ae0: 48 00 00 10 b 1af0 + 1ae4: 81 21 00 08 lwz r9,8(r1) + 1ae8: 39 29 00 01 addi r9,r9,1 + 1aec: 91 21 00 08 stw r9,8(r1) + 1af0: 81 21 00 1c lwz r9,28(r1) + 1af4: 39 49 ff ff addi r10,r9,-1 + 1af8: 91 41 00 1c stw r10,28(r1) + 1afc: 2c 09 00 00 cmpwi r9,0 + 1b00: 41 82 00 14 beq 1b14 + 1b04: 81 21 00 08 lwz r9,8(r1) + 1b08: 89 29 00 00 lbz r9,0(r9) + 1b0c: 2c 09 00 00 cmpwi r9,0 + 1b10: 40 82 ff d4 bne 1ae4 + 1b14: 81 41 00 08 lwz r10,8(r1) + 1b18: 81 21 00 18 lwz r9,24(r1) + 1b1c: 7d 29 50 50 subf r9,r9,r10 + 1b20: 7d 23 4b 78 mr r3,r9 + 1b24: 38 21 00 20 addi r1,r1,32 + 1b28: 4e 80 00 20 blr + +00001b2c : + 1b2c: 94 21 ff e0 stwu r1,-32(r1) + 1b30: 90 61 00 18 stw r3,24(r1) + 1b34: 90 81 00 1c stw r4,28(r1) + 1b38: 39 20 00 00 li r9,0 + 1b3c: 91 21 00 10 stw r9,16(r1) + 1b40: 81 21 00 18 lwz r9,24(r1) + 1b44: 91 21 00 08 stw r9,8(r1) + 1b48: 48 00 00 7c b 1bc4 + 1b4c: 81 21 00 1c lwz r9,28(r1) + 1b50: 91 21 00 0c stw r9,12(r1) + 1b54: 48 00 00 28 b 1b7c + 1b58: 81 21 00 08 lwz r9,8(r1) + 1b5c: 89 49 00 00 lbz r10,0(r9) + 1b60: 81 21 00 0c lwz r9,12(r1) + 1b64: 89 29 00 00 lbz r9,0(r9) + 1b68: 7c 0a 48 40 cmplw r10,r9 + 1b6c: 41 82 00 24 beq 1b90 + 1b70: 81 21 00 0c lwz r9,12(r1) + 1b74: 39 29 00 01 addi r9,r9,1 + 1b78: 91 21 00 0c stw r9,12(r1) + 1b7c: 81 21 00 0c lwz r9,12(r1) + 1b80: 89 29 00 00 lbz r9,0(r9) + 1b84: 2c 09 00 00 cmpwi r9,0 + 1b88: 40 82 ff d0 bne 1b58 + 1b8c: 48 00 00 08 b 1b94 + 1b90: 60 00 00 00 nop + 1b94: 81 21 00 0c lwz r9,12(r1) + 1b98: 89 29 00 00 lbz r9,0(r9) + 1b9c: 2c 09 00 00 cmpwi r9,0 + 1ba0: 40 82 00 0c bne 1bac + 1ba4: 81 21 00 10 lwz r9,16(r1) + 1ba8: 48 00 00 30 b 1bd8 + 1bac: 81 21 00 10 lwz r9,16(r1) + 1bb0: 39 29 00 01 addi r9,r9,1 + 1bb4: 91 21 00 10 stw r9,16(r1) + 1bb8: 81 21 00 08 lwz r9,8(r1) + 1bbc: 39 29 00 01 addi r9,r9,1 + 1bc0: 91 21 00 08 stw r9,8(r1) + 1bc4: 81 21 00 08 lwz r9,8(r1) + 1bc8: 89 29 00 00 lbz r9,0(r9) + 1bcc: 2c 09 00 00 cmpwi r9,0 + 1bd0: 40 82 ff 7c bne 1b4c + 1bd4: 81 21 00 10 lwz r9,16(r1) + 1bd8: 7d 23 4b 78 mr r3,r9 + 1bdc: 38 21 00 20 addi r1,r1,32 + 1be0: 4e 80 00 20 blr + +00001be4 : + 1be4: 94 21 ff d0 stwu r1,-48(r1) + 1be8: 90 61 00 18 stw r3,24(r1) + 1bec: 90 81 00 1c stw r4,28(r1) + 1bf0: 90 a1 00 20 stw r5,32(r1) + 1bf4: 39 20 00 00 li r9,0 + 1bf8: 91 21 00 10 stw r9,16(r1) + 1bfc: 81 21 00 18 lwz r9,24(r1) + 1c00: 91 21 00 08 stw r9,8(r1) + 1c04: 81 21 00 1c lwz r9,28(r1) + 1c08: 91 21 00 0c stw r9,12(r1) + 1c0c: 48 00 00 50 b 1c5c + 1c10: 81 21 00 08 lwz r9,8(r1) + 1c14: 89 29 00 00 lbz r9,0(r9) + 1c18: 7d 2a 4b 78 mr r10,r9 + 1c1c: 81 21 00 0c lwz r9,12(r1) + 1c20: 89 29 00 00 lbz r9,0(r9) + 1c24: 7d 29 50 50 subf r9,r9,r10 + 1c28: 91 21 00 10 stw r9,16(r1) + 1c2c: 81 21 00 10 lwz r9,16(r1) + 1c30: 2c 09 00 00 cmpwi r9,0 + 1c34: 40 82 00 38 bne 1c6c + 1c38: 81 21 00 08 lwz r9,8(r1) + 1c3c: 39 29 00 01 addi r9,r9,1 + 1c40: 91 21 00 08 stw r9,8(r1) + 1c44: 81 21 00 0c lwz r9,12(r1) + 1c48: 39 29 00 01 addi r9,r9,1 + 1c4c: 91 21 00 0c stw r9,12(r1) + 1c50: 81 21 00 20 lwz r9,32(r1) + 1c54: 39 29 ff ff addi r9,r9,-1 + 1c58: 91 21 00 20 stw r9,32(r1) + 1c5c: 81 21 00 20 lwz r9,32(r1) + 1c60: 2c 09 00 00 cmpwi r9,0 + 1c64: 40 82 ff ac bne 1c10 + 1c68: 48 00 00 08 b 1c70 + 1c6c: 60 00 00 00 nop + 1c70: 81 21 00 10 lwz r9,16(r1) + 1c74: 7d 23 4b 78 mr r3,r9 + 1c78: 38 21 00 30 addi r1,r1,48 + 1c7c: 4e 80 00 20 blr + +00001c80 : + 1c80: 94 21 ff d0 stwu r1,-48(r1) + 1c84: 90 61 00 18 stw r3,24(r1) + 1c88: 90 81 00 1c stw r4,28(r1) + 1c8c: 90 a1 00 20 stw r5,32(r1) + 1c90: 81 21 00 18 lwz r9,24(r1) + 1c94: 91 21 00 08 stw r9,8(r1) + 1c98: 48 00 00 1c b 1cb4 + 1c9c: 81 21 00 08 lwz r9,8(r1) + 1ca0: 39 49 00 01 addi r10,r9,1 + 1ca4: 91 41 00 08 stw r10,8(r1) + 1ca8: 81 41 00 1c lwz r10,28(r1) + 1cac: 55 4a 06 3e clrlwi r10,r10,24 + 1cb0: 99 49 00 00 stb r10,0(r9) + 1cb4: 81 21 00 20 lwz r9,32(r1) + 1cb8: 39 49 ff ff addi r10,r9,-1 + 1cbc: 91 41 00 20 stw r10,32(r1) + 1cc0: 2c 09 00 00 cmpwi r9,0 + 1cc4: 40 82 ff d8 bne 1c9c + 1cc8: 81 21 00 18 lwz r9,24(r1) + 1ccc: 7d 23 4b 78 mr r3,r9 + 1cd0: 38 21 00 30 addi r1,r1,48 + 1cd4: 4e 80 00 20 blr + +00001cd8 : + 1cd8: 94 21 ff d0 stwu r1,-48(r1) + 1cdc: 90 61 00 18 stw r3,24(r1) + 1ce0: 90 81 00 1c stw r4,28(r1) + 1ce4: 90 a1 00 20 stw r5,32(r1) + 1ce8: 81 21 00 18 lwz r9,24(r1) + 1cec: 91 21 00 08 stw r9,8(r1) + 1cf0: 81 21 00 1c lwz r9,28(r1) + 1cf4: 91 21 00 0c stw r9,12(r1) + 1cf8: 48 00 00 24 b 1d1c + 1cfc: 81 41 00 0c lwz r10,12(r1) + 1d00: 39 2a 00 01 addi r9,r10,1 + 1d04: 91 21 00 0c stw r9,12(r1) + 1d08: 81 21 00 08 lwz r9,8(r1) + 1d0c: 39 09 00 01 addi r8,r9,1 + 1d10: 91 01 00 08 stw r8,8(r1) + 1d14: 89 4a 00 00 lbz r10,0(r10) + 1d18: 99 49 00 00 stb r10,0(r9) + 1d1c: 81 21 00 20 lwz r9,32(r1) + 1d20: 39 49 ff ff addi r10,r9,-1 + 1d24: 91 41 00 20 stw r10,32(r1) + 1d28: 2c 09 00 00 cmpwi r9,0 + 1d2c: 40 82 ff d0 bne 1cfc + 1d30: 81 21 00 18 lwz r9,24(r1) + 1d34: 7d 23 4b 78 mr r3,r9 + 1d38: 38 21 00 30 addi r1,r1,48 + 1d3c: 4e 80 00 20 blr + +00001d40 : + 1d40: 94 21 ff d0 stwu r1,-48(r1) + 1d44: 90 61 00 18 stw r3,24(r1) + 1d48: 90 81 00 1c stw r4,28(r1) + 1d4c: 90 a1 00 20 stw r5,32(r1) + 1d50: 81 41 00 18 lwz r10,24(r1) + 1d54: 81 21 00 1c lwz r9,28(r1) + 1d58: 7c 0a 48 40 cmplw r10,r9 + 1d5c: 41 81 00 50 bgt 1dac + 1d60: 81 21 00 18 lwz r9,24(r1) + 1d64: 91 21 00 08 stw r9,8(r1) + 1d68: 81 21 00 1c lwz r9,28(r1) + 1d6c: 91 21 00 0c stw r9,12(r1) + 1d70: 48 00 00 24 b 1d94 + 1d74: 81 41 00 0c lwz r10,12(r1) + 1d78: 39 2a 00 01 addi r9,r10,1 + 1d7c: 91 21 00 0c stw r9,12(r1) + 1d80: 81 21 00 08 lwz r9,8(r1) + 1d84: 39 09 00 01 addi r8,r9,1 + 1d88: 91 01 00 08 stw r8,8(r1) + 1d8c: 89 4a 00 00 lbz r10,0(r10) + 1d90: 99 49 00 00 stb r10,0(r9) + 1d94: 81 21 00 20 lwz r9,32(r1) + 1d98: 39 49 ff ff addi r10,r9,-1 + 1d9c: 91 41 00 20 stw r10,32(r1) + 1da0: 2c 09 00 00 cmpwi r9,0 + 1da4: 40 82 ff d0 bne 1d74 + 1da8: 48 00 00 64 b 1e0c + 1dac: 81 41 00 18 lwz r10,24(r1) + 1db0: 81 21 00 20 lwz r9,32(r1) + 1db4: 7d 2a 4a 14 add r9,r10,r9 + 1db8: 91 21 00 08 stw r9,8(r1) + 1dbc: 81 41 00 1c lwz r10,28(r1) + 1dc0: 81 21 00 20 lwz r9,32(r1) + 1dc4: 7d 2a 4a 14 add r9,r10,r9 + 1dc8: 91 21 00 0c stw r9,12(r1) + 1dcc: 48 00 00 2c b 1df8 + 1dd0: 81 21 00 0c lwz r9,12(r1) + 1dd4: 39 29 ff ff addi r9,r9,-1 + 1dd8: 91 21 00 0c stw r9,12(r1) + 1ddc: 81 21 00 08 lwz r9,8(r1) + 1de0: 39 29 ff ff addi r9,r9,-1 + 1de4: 91 21 00 08 stw r9,8(r1) + 1de8: 81 21 00 0c lwz r9,12(r1) + 1dec: 89 49 00 00 lbz r10,0(r9) + 1df0: 81 21 00 08 lwz r9,8(r1) + 1df4: 99 49 00 00 stb r10,0(r9) + 1df8: 81 21 00 20 lwz r9,32(r1) + 1dfc: 39 49 ff ff addi r10,r9,-1 + 1e00: 91 41 00 20 stw r10,32(r1) + 1e04: 2c 09 00 00 cmpwi r9,0 + 1e08: 40 82 ff c8 bne 1dd0 + 1e0c: 81 21 00 18 lwz r9,24(r1) + 1e10: 7d 23 4b 78 mr r3,r9 + 1e14: 38 21 00 30 addi r1,r1,48 + 1e18: 4e 80 00 20 blr + +00001e1c : + 1e1c: 94 21 ff e0 stwu r1,-32(r1) + 1e20: 7c 08 02 a6 mflr r0 + 1e24: 90 01 00 24 stw r0,36(r1) + 1e28: 90 61 00 18 stw r3,24(r1) + 1e2c: 90 81 00 1c stw r4,28(r1) + 1e30: 80 61 00 1c lwz r3,28(r1) + 1e34: 4b ff fc 51 bl 1a84 + 1e38: 7c 69 1b 78 mr r9,r3 + 1e3c: 91 21 00 0c stw r9,12(r1) + 1e40: 81 21 00 0c lwz r9,12(r1) + 1e44: 2c 09 00 00 cmpwi r9,0 + 1e48: 40 82 00 0c bne 1e54 + 1e4c: 81 21 00 18 lwz r9,24(r1) + 1e50: 48 00 00 68 b 1eb8 + 1e54: 80 61 00 18 lwz r3,24(r1) + 1e58: 4b ff fc 2d bl 1a84 + 1e5c: 7c 69 1b 78 mr r9,r3 + 1e60: 91 21 00 08 stw r9,8(r1) + 1e64: 48 00 00 40 b 1ea4 + 1e68: 81 21 00 08 lwz r9,8(r1) + 1e6c: 39 29 ff ff addi r9,r9,-1 + 1e70: 91 21 00 08 stw r9,8(r1) + 1e74: 80 a1 00 0c lwz r5,12(r1) + 1e78: 80 81 00 1c lwz r4,28(r1) + 1e7c: 80 61 00 18 lwz r3,24(r1) + 1e80: 4b ff fd 65 bl 1be4 + 1e84: 7c 69 1b 78 mr r9,r3 + 1e88: 2c 09 00 00 cmpwi r9,0 + 1e8c: 40 82 00 0c bne 1e98 + 1e90: 81 21 00 18 lwz r9,24(r1) + 1e94: 48 00 00 24 b 1eb8 + 1e98: 81 21 00 18 lwz r9,24(r1) + 1e9c: 39 29 00 01 addi r9,r9,1 + 1ea0: 91 21 00 18 stw r9,24(r1) + 1ea4: 81 41 00 08 lwz r10,8(r1) + 1ea8: 81 21 00 0c lwz r9,12(r1) + 1eac: 7c 0a 48 40 cmplw r10,r9 + 1eb0: 40 80 ff b8 bge 1e68 + 1eb4: 39 20 00 00 li r9,0 + 1eb8: 7d 23 4b 78 mr r3,r9 + 1ebc: 80 01 00 24 lwz r0,36(r1) + 1ec0: 7c 08 03 a6 mtlr r0 + 1ec4: 38 21 00 20 addi r1,r1,32 + 1ec8: 4e 80 00 20 blr + +00001ecc : + 1ecc: 94 21 ff d0 stwu r1,-48(r1) + 1ed0: 90 61 00 18 stw r3,24(r1) + 1ed4: 90 81 00 1c stw r4,28(r1) + 1ed8: 90 a1 00 20 stw r5,32(r1) + 1edc: 81 21 00 18 lwz r9,24(r1) + 1ee0: 91 21 00 08 stw r9,8(r1) + 1ee4: 48 00 00 30 b 1f14 + 1ee8: 81 21 00 1c lwz r9,28(r1) + 1eec: 55 2a 06 3e clrlwi r10,r9,24 + 1ef0: 81 21 00 08 lwz r9,8(r1) + 1ef4: 39 09 00 01 addi r8,r9,1 + 1ef8: 91 01 00 08 stw r8,8(r1) + 1efc: 89 29 00 00 lbz r9,0(r9) + 1f00: 7c 0a 48 40 cmplw r10,r9 + 1f04: 40 82 00 10 bne 1f14 + 1f08: 81 21 00 08 lwz r9,8(r1) + 1f0c: 39 29 ff ff addi r9,r9,-1 + 1f10: 48 00 00 1c b 1f2c + 1f14: 81 21 00 20 lwz r9,32(r1) + 1f18: 39 49 ff ff addi r10,r9,-1 + 1f1c: 91 41 00 20 stw r10,32(r1) + 1f20: 2c 09 00 00 cmpwi r9,0 + 1f24: 40 82 ff c4 bne 1ee8 + 1f28: 39 20 00 00 li r9,0 + 1f2c: 7d 23 4b 78 mr r3,r9 + 1f30: 38 21 00 30 addi r1,r1,48 + 1f34: 4e 80 00 20 blr + +00001f38 : + 1f38: 94 21 ff d0 stwu r1,-48(r1) + 1f3c: 7c 08 02 a6 mflr r0 + 1f40: 90 01 00 34 stw r0,52(r1) + 1f44: 90 61 00 18 stw r3,24(r1) + 1f48: 90 81 00 1c stw r4,28(r1) + 1f4c: 90 a1 00 20 stw r5,32(r1) + 1f50: 39 20 00 00 li r9,0 + 1f54: 91 21 00 08 stw r9,8(r1) + 1f58: 81 21 00 20 lwz r9,32(r1) + 1f5c: 2c 09 00 00 cmpwi r9,0 + 1f60: 40 82 00 8c bne 1fec + 1f64: 39 20 00 0a li r9,10 + 1f68: 91 21 00 20 stw r9,32(r1) + 1f6c: 81 21 00 18 lwz r9,24(r1) + 1f70: 89 29 00 00 lbz r9,0(r9) + 1f74: 28 09 00 30 cmplwi r9,48 + 1f78: 40 82 00 e4 bne 205c + 1f7c: 39 20 00 08 li r9,8 + 1f80: 91 21 00 20 stw r9,32(r1) + 1f84: 81 21 00 18 lwz r9,24(r1) + 1f88: 39 29 00 01 addi r9,r9,1 + 1f8c: 91 21 00 18 stw r9,24(r1) + 1f90: 81 21 00 18 lwz r9,24(r1) + 1f94: 89 29 00 00 lbz r9,0(r9) + 1f98: 7d 23 4b 78 mr r3,r9 + 1f9c: 4b ff f5 41 bl 14dc <__toupper> + 1fa0: 7c 69 1b 78 mr r9,r3 + 1fa4: 28 09 00 58 cmplwi r9,88 + 1fa8: 40 82 00 b4 bne 205c + 1fac: 81 21 00 18 lwz r9,24(r1) + 1fb0: 39 29 00 01 addi r9,r9,1 + 1fb4: 89 29 00 00 lbz r9,0(r9) + 1fb8: 7d 2a 4b 78 mr r10,r9 + 1fbc: 3d 20 00 00 lis r9,0 + 1fc0: 39 29 09 04 addi r9,r9,2308 + 1fc4: 7d 29 50 ae lbzx r9,r9,r10 + 1fc8: 71 29 00 44 andi. r9,r9,68 + 1fcc: 2c 09 00 00 cmpwi r9,0 + 1fd0: 41 82 00 8c beq 205c + 1fd4: 81 21 00 18 lwz r9,24(r1) + 1fd8: 39 29 00 01 addi r9,r9,1 + 1fdc: 91 21 00 18 stw r9,24(r1) + 1fe0: 39 20 00 10 li r9,16 + 1fe4: 91 21 00 20 stw r9,32(r1) + 1fe8: 48 00 00 74 b 205c + 1fec: 81 21 00 20 lwz r9,32(r1) + 1ff0: 2c 09 00 10 cmpwi r9,16 + 1ff4: 40 82 00 68 bne 205c + 1ff8: 81 21 00 18 lwz r9,24(r1) + 1ffc: 89 29 00 00 lbz r9,0(r9) + 2000: 28 09 00 30 cmplwi r9,48 + 2004: 40 82 00 58 bne 205c + 2008: 81 21 00 18 lwz r9,24(r1) + 200c: 39 29 00 01 addi r9,r9,1 + 2010: 89 29 00 00 lbz r9,0(r9) + 2014: 7d 23 4b 78 mr r3,r9 + 2018: 4b ff f4 c5 bl 14dc <__toupper> + 201c: 7c 69 1b 78 mr r9,r3 + 2020: 28 09 00 58 cmplwi r9,88 + 2024: 40 82 00 38 bne 205c + 2028: 81 21 00 18 lwz r9,24(r1) + 202c: 39 29 00 02 addi r9,r9,2 + 2030: 91 21 00 18 stw r9,24(r1) + 2034: 48 00 00 28 b 205c + 2038: 81 41 00 08 lwz r10,8(r1) + 203c: 81 21 00 20 lwz r9,32(r1) + 2040: 7d 2a 49 d6 mullw r9,r10,r9 + 2044: 81 41 00 0c lwz r10,12(r1) + 2048: 7d 2a 4a 14 add r9,r10,r9 + 204c: 91 21 00 08 stw r9,8(r1) + 2050: 81 21 00 18 lwz r9,24(r1) + 2054: 39 29 00 01 addi r9,r9,1 + 2058: 91 21 00 18 stw r9,24(r1) + 205c: 81 21 00 18 lwz r9,24(r1) + 2060: 89 29 00 00 lbz r9,0(r9) + 2064: 7d 2a 4b 78 mr r10,r9 + 2068: 3d 20 00 00 lis r9,0 + 206c: 39 29 09 04 addi r9,r9,2308 + 2070: 7d 29 50 ae lbzx r9,r9,r10 + 2074: 71 29 00 44 andi. r9,r9,68 + 2078: 2c 09 00 00 cmpwi r9,0 + 207c: 41 82 00 64 beq 20e0 + 2080: 81 21 00 18 lwz r9,24(r1) + 2084: 89 29 00 00 lbz r9,0(r9) + 2088: 7d 2a 4b 78 mr r10,r9 + 208c: 3d 20 00 00 lis r9,0 + 2090: 39 29 09 04 addi r9,r9,2308 + 2094: 7d 29 50 ae lbzx r9,r9,r10 + 2098: 55 29 07 7a rlwinm r9,r9,0,29,29 + 209c: 2c 09 00 00 cmpwi r9,0 + 20a0: 41 82 00 14 beq 20b4 + 20a4: 81 21 00 18 lwz r9,24(r1) + 20a8: 89 29 00 00 lbz r9,0(r9) + 20ac: 39 29 ff d0 addi r9,r9,-48 + 20b0: 48 00 00 1c b 20cc + 20b4: 81 21 00 18 lwz r9,24(r1) + 20b8: 89 29 00 00 lbz r9,0(r9) + 20bc: 7d 23 4b 78 mr r3,r9 + 20c0: 4b ff f4 1d bl 14dc <__toupper> + 20c4: 7c 69 1b 78 mr r9,r3 + 20c8: 39 29 ff c9 addi r9,r9,-55 + 20cc: 91 21 00 0c stw r9,12(r1) + 20d0: 81 41 00 0c lwz r10,12(r1) + 20d4: 81 21 00 20 lwz r9,32(r1) + 20d8: 7c 0a 48 40 cmplw r10,r9 + 20dc: 41 80 ff 5c blt 2038 + 20e0: 81 21 00 1c lwz r9,28(r1) + 20e4: 2c 09 00 00 cmpwi r9,0 + 20e8: 41 82 00 10 beq 20f8 + 20ec: 81 21 00 1c lwz r9,28(r1) + 20f0: 81 41 00 18 lwz r10,24(r1) + 20f4: 91 49 00 00 stw r10,0(r9) + 20f8: 81 21 00 08 lwz r9,8(r1) + 20fc: 7d 23 4b 78 mr r3,r9 + 2100: 80 01 00 34 lwz r0,52(r1) + 2104: 7c 08 03 a6 mtlr r0 + 2108: 38 21 00 30 addi r1,r1,48 + 210c: 4e 80 00 20 blr + +00002110 : + 2110: 94 21 ff e0 stwu r1,-32(r1) + 2114: 7c 08 02 a6 mflr r0 + 2118: 90 01 00 24 stw r0,36(r1) + 211c: 90 61 00 08 stw r3,8(r1) + 2120: 90 81 00 0c stw r4,12(r1) + 2124: 90 a1 00 10 stw r5,16(r1) + 2128: 81 21 00 08 lwz r9,8(r1) + 212c: 89 29 00 00 lbz r9,0(r9) + 2130: 28 09 00 2d cmplwi r9,45 + 2134: 40 82 00 2c bne 2160 + 2138: 81 21 00 08 lwz r9,8(r1) + 213c: 39 29 00 01 addi r9,r9,1 + 2140: 81 41 00 10 lwz r10,16(r1) + 2144: 7d 45 53 78 mr r5,r10 + 2148: 80 81 00 0c lwz r4,12(r1) + 214c: 7d 23 4b 78 mr r3,r9 + 2150: 4b ff fd e9 bl 1f38 + 2154: 7c 69 1b 78 mr r9,r3 + 2158: 7d 29 00 d0 neg r9,r9 + 215c: 48 00 00 1c b 2178 + 2160: 81 21 00 10 lwz r9,16(r1) + 2164: 7d 25 4b 78 mr r5,r9 + 2168: 80 81 00 0c lwz r4,12(r1) + 216c: 80 61 00 08 lwz r3,8(r1) + 2170: 4b ff fd c9 bl 1f38 + 2174: 7c 69 1b 78 mr r9,r3 + 2178: 7d 23 4b 78 mr r3,r9 + 217c: 80 01 00 24 lwz r0,36(r1) + 2180: 7c 08 03 a6 mtlr r0 + 2184: 38 21 00 20 addi r1,r1,32 + 2188: 4e 80 00 20 blr + +0000218c : + 218c: 94 21 ff e0 stwu r1,-32(r1) + 2190: 90 61 00 18 stw r3,24(r1) + 2194: 39 20 00 00 li r9,0 + 2198: 91 21 00 08 stw r9,8(r1) + 219c: 48 00 00 30 b 21cc + 21a0: 81 21 00 08 lwz r9,8(r1) + 21a4: 1d 49 00 0a mulli r10,r9,10 + 21a8: 81 21 00 18 lwz r9,24(r1) + 21ac: 81 29 00 00 lwz r9,0(r9) + 21b0: 38 e9 00 01 addi r7,r9,1 + 21b4: 81 01 00 18 lwz r8,24(r1) + 21b8: 90 e8 00 00 stw r7,0(r8) + 21bc: 89 29 00 00 lbz r9,0(r9) + 21c0: 7d 2a 4a 14 add r9,r10,r9 + 21c4: 39 29 ff d0 addi r9,r9,-48 + 21c8: 91 21 00 08 stw r9,8(r1) + 21cc: 81 21 00 18 lwz r9,24(r1) + 21d0: 81 29 00 00 lwz r9,0(r9) + 21d4: 89 29 00 00 lbz r9,0(r9) + 21d8: 7d 2a 4b 78 mr r10,r9 + 21dc: 3d 20 00 00 lis r9,0 + 21e0: 39 29 09 04 addi r9,r9,2308 + 21e4: 7d 29 50 ae lbzx r9,r9,r10 + 21e8: 55 29 07 7a rlwinm r9,r9,0,29,29 + 21ec: 2c 09 00 00 cmpwi r9,0 + 21f0: 40 82 ff b0 bne 21a0 + 21f4: 81 21 00 08 lwz r9,8(r1) + 21f8: 7d 23 4b 78 mr r3,r9 + 21fc: 38 21 00 20 addi r1,r1,32 + 2200: 4e 80 00 20 blr + +00002204 : + 2204: 94 21 ff 70 stwu r1,-144(r1) + 2208: 90 61 00 68 stw r3,104(r1) + 220c: 90 81 00 6c stw r4,108(r1) + 2210: 90 a1 00 70 stw r5,112(r1) + 2214: 90 c1 00 74 stw r6,116(r1) + 2218: 90 e1 00 78 stw r7,120(r1) + 221c: 91 01 00 7c stw r8,124(r1) + 2220: 91 21 00 80 stw r9,128(r1) + 2224: 81 21 00 80 lwz r9,128(r1) + 2228: 55 29 06 72 rlwinm r9,r9,0,25,25 + 222c: 2c 09 00 00 cmpwi r9,0 + 2230: 41 82 00 10 beq 2240 + 2234: 3d 20 00 00 lis r9,0 + 2238: 39 29 0a 10 addi r9,r9,2576 + 223c: 48 00 00 0c b 2248 + 2240: 3d 20 00 00 lis r9,0 + 2244: 39 29 0a 38 addi r9,r9,2616 + 2248: 91 21 00 10 stw r9,16(r1) + 224c: 81 21 00 80 lwz r9,128(r1) + 2250: 55 29 06 f6 rlwinm r9,r9,0,27,27 + 2254: 2c 09 00 00 cmpwi r9,0 + 2258: 41 82 00 10 beq 2268 + 225c: 81 21 00 80 lwz r9,128(r1) + 2260: 55 29 00 3c rlwinm r9,r9,0,0,30 + 2264: 91 21 00 80 stw r9,128(r1) + 2268: 81 21 00 74 lwz r9,116(r1) + 226c: 2c 09 00 01 cmpwi r9,1 + 2270: 40 81 00 10 ble 2280 + 2274: 81 21 00 74 lwz r9,116(r1) + 2278: 2c 09 00 24 cmpwi r9,36 + 227c: 40 81 00 0c ble 2288 + 2280: 39 20 00 00 li r9,0 + 2284: 48 00 03 fc b 2680 + 2288: 81 21 00 80 lwz r9,128(r1) + 228c: 55 29 07 fe clrlwi r9,r9,31 + 2290: 2c 09 00 00 cmpwi r9,0 + 2294: 41 82 00 0c beq 22a0 + 2298: 39 20 00 30 li r9,48 + 229c: 48 00 00 08 b 22a4 + 22a0: 39 20 00 20 li r9,32 + 22a4: 99 21 00 14 stb r9,20(r1) + 22a8: 39 20 00 00 li r9,0 + 22ac: 99 21 00 08 stb r9,8(r1) + 22b0: 81 21 00 80 lwz r9,128(r1) + 22b4: 55 29 07 bc rlwinm r9,r9,0,30,30 + 22b8: 2c 09 00 00 cmpwi r9,0 + 22bc: 41 82 00 80 beq 233c + 22c0: 81 21 00 70 lwz r9,112(r1) + 22c4: 2c 09 00 00 cmpwi r9,0 + 22c8: 40 80 00 28 bge 22f0 + 22cc: 39 20 00 2d li r9,45 + 22d0: 99 21 00 08 stb r9,8(r1) + 22d4: 81 21 00 70 lwz r9,112(r1) + 22d8: 7d 29 00 d0 neg r9,r9 + 22dc: 91 21 00 70 stw r9,112(r1) + 22e0: 81 21 00 78 lwz r9,120(r1) + 22e4: 39 29 ff ff addi r9,r9,-1 + 22e8: 91 21 00 78 stw r9,120(r1) + 22ec: 48 00 00 50 b 233c + 22f0: 81 21 00 80 lwz r9,128(r1) + 22f4: 55 29 07 7a rlwinm r9,r9,0,29,29 + 22f8: 2c 09 00 00 cmpwi r9,0 + 22fc: 41 82 00 1c beq 2318 + 2300: 39 20 00 2b li r9,43 + 2304: 99 21 00 08 stb r9,8(r1) + 2308: 81 21 00 78 lwz r9,120(r1) + 230c: 39 29 ff ff addi r9,r9,-1 + 2310: 91 21 00 78 stw r9,120(r1) + 2314: 48 00 00 28 b 233c + 2318: 81 21 00 80 lwz r9,128(r1) + 231c: 55 29 07 38 rlwinm r9,r9,0,28,28 + 2320: 2c 09 00 00 cmpwi r9,0 + 2324: 41 82 00 18 beq 233c + 2328: 39 20 00 20 li r9,32 + 232c: 99 21 00 08 stb r9,8(r1) + 2330: 81 21 00 78 lwz r9,120(r1) + 2334: 39 29 ff ff addi r9,r9,-1 + 2338: 91 21 00 78 stw r9,120(r1) + 233c: 81 21 00 80 lwz r9,128(r1) + 2340: 55 29 06 b4 rlwinm r9,r9,0,26,26 + 2344: 2c 09 00 00 cmpwi r9,0 + 2348: 41 82 00 38 beq 2380 + 234c: 81 21 00 74 lwz r9,116(r1) + 2350: 2c 09 00 10 cmpwi r9,16 + 2354: 40 82 00 14 bne 2368 + 2358: 81 21 00 78 lwz r9,120(r1) + 235c: 39 29 ff fe addi r9,r9,-2 + 2360: 91 21 00 78 stw r9,120(r1) + 2364: 48 00 00 1c b 2380 + 2368: 81 21 00 74 lwz r9,116(r1) + 236c: 2c 09 00 08 cmpwi r9,8 + 2370: 40 82 00 10 bne 2380 + 2374: 81 21 00 78 lwz r9,120(r1) + 2378: 39 29 ff ff addi r9,r9,-1 + 237c: 91 21 00 78 stw r9,120(r1) + 2380: 39 20 00 00 li r9,0 + 2384: 91 21 00 0c stw r9,12(r1) + 2388: 81 21 00 70 lwz r9,112(r1) + 238c: 2c 09 00 00 cmpwi r9,0 + 2390: 40 82 00 6c bne 23fc + 2394: 81 21 00 0c lwz r9,12(r1) + 2398: 39 49 00 01 addi r10,r9,1 + 239c: 91 41 00 0c stw r10,12(r1) + 23a0: 39 41 00 08 addi r10,r1,8 + 23a4: 7d 2a 4a 14 add r9,r10,r9 + 23a8: 39 40 00 30 li r10,48 + 23ac: 99 49 00 10 stb r10,16(r9) + 23b0: 48 00 00 58 b 2408 + 23b4: 81 41 00 74 lwz r10,116(r1) + 23b8: 81 21 00 70 lwz r9,112(r1) + 23bc: 7d 09 53 96 divwu r8,r9,r10 + 23c0: 7d 48 51 d6 mullw r10,r8,r10 + 23c4: 7d 2a 48 50 subf r9,r10,r9 + 23c8: 81 41 00 10 lwz r10,16(r1) + 23cc: 7d 4a 4a 14 add r10,r10,r9 + 23d0: 81 21 00 0c lwz r9,12(r1) + 23d4: 39 09 00 01 addi r8,r9,1 + 23d8: 91 01 00 0c stw r8,12(r1) + 23dc: 89 4a 00 00 lbz r10,0(r10) + 23e0: 39 01 00 08 addi r8,r1,8 + 23e4: 7d 28 4a 14 add r9,r8,r9 + 23e8: 99 49 00 10 stb r10,16(r9) + 23ec: 81 21 00 74 lwz r9,116(r1) + 23f0: 81 41 00 70 lwz r10,112(r1) + 23f4: 7d 2a 4b 96 divwu r9,r10,r9 + 23f8: 91 21 00 70 stw r9,112(r1) + 23fc: 81 21 00 70 lwz r9,112(r1) + 2400: 2c 09 00 00 cmpwi r9,0 + 2404: 40 82 ff b0 bne 23b4 + 2408: 81 41 00 0c lwz r10,12(r1) + 240c: 81 21 00 7c lwz r9,124(r1) + 2410: 7c 0a 48 00 cmpw r10,r9 + 2414: 40 81 00 0c ble 2420 + 2418: 81 21 00 0c lwz r9,12(r1) + 241c: 91 21 00 7c stw r9,124(r1) + 2420: 81 41 00 78 lwz r10,120(r1) + 2424: 81 21 00 7c lwz r9,124(r1) + 2428: 7d 29 50 50 subf r9,r9,r10 + 242c: 91 21 00 78 stw r9,120(r1) + 2430: 81 21 00 80 lwz r9,128(r1) + 2434: 71 29 00 11 andi. r9,r9,17 + 2438: 2c 09 00 00 cmpwi r9,0 + 243c: 40 82 00 44 bne 2480 + 2440: 48 00 00 2c b 246c + 2444: 81 41 00 68 lwz r10,104(r1) + 2448: 81 21 00 6c lwz r9,108(r1) + 244c: 7c 0a 48 40 cmplw r10,r9 + 2450: 40 80 00 10 bge 2460 + 2454: 81 21 00 68 lwz r9,104(r1) + 2458: 39 40 00 20 li r10,32 + 245c: 99 49 00 00 stb r10,0(r9) + 2460: 81 21 00 68 lwz r9,104(r1) + 2464: 39 29 00 01 addi r9,r9,1 + 2468: 91 21 00 68 stw r9,104(r1) + 246c: 81 21 00 78 lwz r9,120(r1) + 2470: 39 49 ff ff addi r10,r9,-1 + 2474: 91 41 00 78 stw r10,120(r1) + 2478: 2c 09 00 00 cmpwi r9,0 + 247c: 41 81 ff c8 bgt 2444 + 2480: 89 21 00 08 lbz r9,8(r1) + 2484: 2c 09 00 00 cmpwi r9,0 + 2488: 41 82 00 2c beq 24b4 + 248c: 81 41 00 68 lwz r10,104(r1) + 2490: 81 21 00 6c lwz r9,108(r1) + 2494: 7c 0a 48 40 cmplw r10,r9 + 2498: 40 80 00 10 bge 24a8 + 249c: 81 21 00 68 lwz r9,104(r1) + 24a0: 89 41 00 08 lbz r10,8(r1) + 24a4: 99 49 00 00 stb r10,0(r9) + 24a8: 81 21 00 68 lwz r9,104(r1) + 24ac: 39 29 00 01 addi r9,r9,1 + 24b0: 91 21 00 68 stw r9,104(r1) + 24b4: 81 21 00 80 lwz r9,128(r1) + 24b8: 55 29 06 b4 rlwinm r9,r9,0,26,26 + 24bc: 2c 09 00 00 cmpwi r9,0 + 24c0: 41 82 00 9c beq 255c + 24c4: 81 21 00 74 lwz r9,116(r1) + 24c8: 2c 09 00 08 cmpwi r9,8 + 24cc: 40 82 00 30 bne 24fc + 24d0: 81 41 00 68 lwz r10,104(r1) + 24d4: 81 21 00 6c lwz r9,108(r1) + 24d8: 7c 0a 48 40 cmplw r10,r9 + 24dc: 40 80 00 10 bge 24ec + 24e0: 81 21 00 68 lwz r9,104(r1) + 24e4: 39 40 00 30 li r10,48 + 24e8: 99 49 00 00 stb r10,0(r9) + 24ec: 81 21 00 68 lwz r9,104(r1) + 24f0: 39 29 00 01 addi r9,r9,1 + 24f4: 91 21 00 68 stw r9,104(r1) + 24f8: 48 00 00 64 b 255c + 24fc: 81 21 00 74 lwz r9,116(r1) + 2500: 2c 09 00 10 cmpwi r9,16 + 2504: 40 82 00 58 bne 255c + 2508: 81 41 00 68 lwz r10,104(r1) + 250c: 81 21 00 6c lwz r9,108(r1) + 2510: 7c 0a 48 40 cmplw r10,r9 + 2514: 40 80 00 10 bge 2524 + 2518: 81 21 00 68 lwz r9,104(r1) + 251c: 39 40 00 30 li r10,48 + 2520: 99 49 00 00 stb r10,0(r9) + 2524: 81 21 00 68 lwz r9,104(r1) + 2528: 39 29 00 01 addi r9,r9,1 + 252c: 91 21 00 68 stw r9,104(r1) + 2530: 81 41 00 68 lwz r10,104(r1) + 2534: 81 21 00 6c lwz r9,108(r1) + 2538: 7c 0a 48 40 cmplw r10,r9 + 253c: 40 80 00 14 bge 2550 + 2540: 81 21 00 10 lwz r9,16(r1) + 2544: 89 49 00 21 lbz r10,33(r9) + 2548: 81 21 00 68 lwz r9,104(r1) + 254c: 99 49 00 00 stb r10,0(r9) + 2550: 81 21 00 68 lwz r9,104(r1) + 2554: 39 29 00 01 addi r9,r9,1 + 2558: 91 21 00 68 stw r9,104(r1) + 255c: 81 21 00 80 lwz r9,128(r1) + 2560: 55 29 06 f6 rlwinm r9,r9,0,27,27 + 2564: 2c 09 00 00 cmpwi r9,0 + 2568: 40 82 00 70 bne 25d8 + 256c: 48 00 00 2c b 2598 + 2570: 81 41 00 68 lwz r10,104(r1) + 2574: 81 21 00 6c lwz r9,108(r1) + 2578: 7c 0a 48 40 cmplw r10,r9 + 257c: 40 80 00 10 bge 258c + 2580: 81 21 00 68 lwz r9,104(r1) + 2584: 89 41 00 14 lbz r10,20(r1) + 2588: 99 49 00 00 stb r10,0(r9) + 258c: 81 21 00 68 lwz r9,104(r1) + 2590: 39 29 00 01 addi r9,r9,1 + 2594: 91 21 00 68 stw r9,104(r1) + 2598: 81 21 00 78 lwz r9,120(r1) + 259c: 39 49 ff ff addi r10,r9,-1 + 25a0: 91 41 00 78 stw r10,120(r1) + 25a4: 2c 09 00 00 cmpwi r9,0 + 25a8: 41 81 ff c8 bgt 2570 + 25ac: 48 00 00 2c b 25d8 + 25b0: 81 41 00 68 lwz r10,104(r1) + 25b4: 81 21 00 6c lwz r9,108(r1) + 25b8: 7c 0a 48 40 cmplw r10,r9 + 25bc: 40 80 00 10 bge 25cc + 25c0: 81 21 00 68 lwz r9,104(r1) + 25c4: 39 40 00 30 li r10,48 + 25c8: 99 49 00 00 stb r10,0(r9) + 25cc: 81 21 00 68 lwz r9,104(r1) + 25d0: 39 29 00 01 addi r9,r9,1 + 25d4: 91 21 00 68 stw r9,104(r1) + 25d8: 81 21 00 7c lwz r9,124(r1) + 25dc: 39 49 ff ff addi r10,r9,-1 + 25e0: 91 41 00 7c stw r10,124(r1) + 25e4: 81 41 00 0c lwz r10,12(r1) + 25e8: 7c 0a 48 00 cmpw r10,r9 + 25ec: 41 80 ff c4 blt 25b0 + 25f0: 48 00 00 38 b 2628 + 25f4: 81 41 00 68 lwz r10,104(r1) + 25f8: 81 21 00 6c lwz r9,108(r1) + 25fc: 7c 0a 48 40 cmplw r10,r9 + 2600: 40 80 00 1c bge 261c + 2604: 39 41 00 18 addi r10,r1,24 + 2608: 81 21 00 0c lwz r9,12(r1) + 260c: 7d 2a 4a 14 add r9,r10,r9 + 2610: 89 49 00 00 lbz r10,0(r9) + 2614: 81 21 00 68 lwz r9,104(r1) + 2618: 99 49 00 00 stb r10,0(r9) + 261c: 81 21 00 68 lwz r9,104(r1) + 2620: 39 29 00 01 addi r9,r9,1 + 2624: 91 21 00 68 stw r9,104(r1) + 2628: 81 21 00 0c lwz r9,12(r1) + 262c: 39 49 ff ff addi r10,r9,-1 + 2630: 91 41 00 0c stw r10,12(r1) + 2634: 2c 09 00 00 cmpwi r9,0 + 2638: 41 81 ff bc bgt 25f4 + 263c: 48 00 00 2c b 2668 + 2640: 81 41 00 68 lwz r10,104(r1) + 2644: 81 21 00 6c lwz r9,108(r1) + 2648: 7c 0a 48 40 cmplw r10,r9 + 264c: 40 80 00 10 bge 265c + 2650: 81 21 00 68 lwz r9,104(r1) + 2654: 39 40 00 20 li r10,32 + 2658: 99 49 00 00 stb r10,0(r9) + 265c: 81 21 00 68 lwz r9,104(r1) + 2660: 39 29 00 01 addi r9,r9,1 + 2664: 91 21 00 68 stw r9,104(r1) + 2668: 81 21 00 78 lwz r9,120(r1) + 266c: 39 49 ff ff addi r10,r9,-1 + 2670: 91 41 00 78 stw r10,120(r1) + 2674: 2c 09 00 00 cmpwi r9,0 + 2678: 41 81 ff c8 bgt 2640 + 267c: 81 21 00 68 lwz r9,104(r1) + 2680: 7d 23 4b 78 mr r3,r9 + 2684: 38 21 00 90 addi r1,r1,144 + 2688: 4e 80 00 20 blr + +0000268c : + 268c: 94 21 ff d0 stwu r1,-48(r1) + 2690: 7c 08 02 a6 mflr r0 + 2694: 90 01 00 34 stw r0,52(r1) + 2698: 90 61 00 18 stw r3,24(r1) + 269c: 90 81 00 1c stw r4,28(r1) + 26a0: 90 a1 00 20 stw r5,32(r1) + 26a4: 90 c1 00 24 stw r6,36(r1) + 26a8: 80 c1 00 24 lwz r6,36(r1) + 26ac: 80 a1 00 20 lwz r5,32(r1) + 26b0: 80 81 00 1c lwz r4,28(r1) + 26b4: 80 61 00 18 lwz r3,24(r1) + 26b8: 48 00 04 4d bl 2b04 + 26bc: 7c 69 1b 78 mr r9,r3 + 26c0: 91 21 00 08 stw r9,8(r1) + 26c4: 81 41 00 08 lwz r10,8(r1) + 26c8: 81 21 00 1c lwz r9,28(r1) + 26cc: 7c 0a 48 40 cmplw r10,r9 + 26d0: 41 80 00 10 blt 26e0 + 26d4: 81 21 00 1c lwz r9,28(r1) + 26d8: 39 29 ff ff addi r9,r9,-1 + 26dc: 48 00 00 08 b 26e4 + 26e0: 81 21 00 08 lwz r9,8(r1) + 26e4: 7d 23 4b 78 mr r3,r9 + 26e8: 80 01 00 34 lwz r0,52(r1) + 26ec: 7c 08 03 a6 mtlr r0 + 26f0: 38 21 00 30 addi r1,r1,48 + 26f4: 4e 80 00 20 blr + +000026f8 : + 26f8: 94 21 ff 80 stwu r1,-128(r1) + 26fc: 7c 08 02 a6 mflr r0 + 2700: 90 01 00 84 stw r0,132(r1) + 2704: 90 61 00 18 stw r3,24(r1) + 2708: 90 81 00 1c stw r4,28(r1) + 270c: 90 a1 00 20 stw r5,32(r1) + 2710: 90 c1 00 2c stw r6,44(r1) + 2714: 90 e1 00 30 stw r7,48(r1) + 2718: 91 01 00 34 stw r8,52(r1) + 271c: 91 21 00 38 stw r9,56(r1) + 2720: 91 41 00 3c stw r10,60(r1) + 2724: 40 86 00 24 bne cr1,2748 + 2728: d8 21 00 40 stfd f1,64(r1) + 272c: d8 41 00 48 stfd f2,72(r1) + 2730: d8 61 00 50 stfd f3,80(r1) + 2734: d8 81 00 58 stfd f4,88(r1) + 2738: d8 a1 00 60 stfd f5,96(r1) + 273c: d8 c1 00 68 stfd f6,104(r1) + 2740: d8 e1 00 70 stfd f7,112(r1) + 2744: d9 01 00 78 stfd f8,120(r1) + 2748: 39 20 00 03 li r9,3 + 274c: 99 21 00 0c stb r9,12(r1) + 2750: 39 20 00 00 li r9,0 + 2754: 99 21 00 0d stb r9,13(r1) + 2758: 39 21 00 88 addi r9,r1,136 + 275c: 91 21 00 10 stw r9,16(r1) + 2760: 39 21 00 20 addi r9,r1,32 + 2764: 91 21 00 14 stw r9,20(r1) + 2768: 39 21 00 0c addi r9,r1,12 + 276c: 7d 26 4b 78 mr r6,r9 + 2770: 80 a1 00 20 lwz r5,32(r1) + 2774: 80 81 00 1c lwz r4,28(r1) + 2778: 80 61 00 18 lwz r3,24(r1) + 277c: 48 00 03 89 bl 2b04 + 2780: 7c 69 1b 78 mr r9,r3 + 2784: 91 21 00 08 stw r9,8(r1) + 2788: 81 21 00 08 lwz r9,8(r1) + 278c: 7d 23 4b 78 mr r3,r9 + 2790: 80 01 00 84 lwz r0,132(r1) + 2794: 7c 08 03 a6 mtlr r0 + 2798: 38 21 00 80 addi r1,r1,128 + 279c: 4e 80 00 20 blr + +000027a0 : + 27a0: 94 21 ff 80 stwu r1,-128(r1) + 27a4: 7c 08 02 a6 mflr r0 + 27a8: 90 01 00 84 stw r0,132(r1) + 27ac: 90 61 00 18 stw r3,24(r1) + 27b0: 90 81 00 1c stw r4,28(r1) + 27b4: 90 a1 00 20 stw r5,32(r1) + 27b8: 90 c1 00 2c stw r6,44(r1) + 27bc: 90 e1 00 30 stw r7,48(r1) + 27c0: 91 01 00 34 stw r8,52(r1) + 27c4: 91 21 00 38 stw r9,56(r1) + 27c8: 91 41 00 3c stw r10,60(r1) + 27cc: 40 86 00 24 bne cr1,27f0 + 27d0: d8 21 00 40 stfd f1,64(r1) + 27d4: d8 41 00 48 stfd f2,72(r1) + 27d8: d8 61 00 50 stfd f3,80(r1) + 27dc: d8 81 00 58 stfd f4,88(r1) + 27e0: d8 a1 00 60 stfd f5,96(r1) + 27e4: d8 c1 00 68 stfd f6,104(r1) + 27e8: d8 e1 00 70 stfd f7,112(r1) + 27ec: d9 01 00 78 stfd f8,120(r1) + 27f0: 39 20 00 03 li r9,3 + 27f4: 99 21 00 0c stb r9,12(r1) + 27f8: 39 20 00 00 li r9,0 + 27fc: 99 21 00 0d stb r9,13(r1) + 2800: 39 21 00 88 addi r9,r1,136 + 2804: 91 21 00 10 stw r9,16(r1) + 2808: 39 21 00 20 addi r9,r1,32 + 280c: 91 21 00 14 stw r9,20(r1) + 2810: 39 21 00 0c addi r9,r1,12 + 2814: 7d 26 4b 78 mr r6,r9 + 2818: 80 a1 00 20 lwz r5,32(r1) + 281c: 80 81 00 1c lwz r4,28(r1) + 2820: 80 61 00 18 lwz r3,24(r1) + 2824: 48 00 02 e1 bl 2b04 + 2828: 7c 69 1b 78 mr r9,r3 + 282c: 91 21 00 08 stw r9,8(r1) + 2830: 81 41 00 08 lwz r10,8(r1) + 2834: 81 21 00 1c lwz r9,28(r1) + 2838: 7c 0a 48 40 cmplw r10,r9 + 283c: 41 80 00 10 blt 284c + 2840: 81 21 00 1c lwz r9,28(r1) + 2844: 39 29 ff ff addi r9,r9,-1 + 2848: 48 00 00 08 b 2850 + 284c: 81 21 00 08 lwz r9,8(r1) + 2850: 7d 23 4b 78 mr r3,r9 + 2854: 80 01 00 84 lwz r0,132(r1) + 2858: 7c 08 03 a6 mtlr r0 + 285c: 38 21 00 80 addi r1,r1,128 + 2860: 4e 80 00 20 blr + +00002864 : + 2864: 94 21 ff e0 stwu r1,-32(r1) + 2868: 7c 08 02 a6 mflr r0 + 286c: 90 01 00 24 stw r0,36(r1) + 2870: 90 61 00 08 stw r3,8(r1) + 2874: 90 81 00 0c stw r4,12(r1) + 2878: 90 a1 00 10 stw r5,16(r1) + 287c: 80 c1 00 10 lwz r6,16(r1) + 2880: 80 a1 00 0c lwz r5,12(r1) + 2884: 3d 20 7f ff lis r9,32767 + 2888: 61 24 ff ff ori r4,r9,65535 + 288c: 80 61 00 08 lwz r3,8(r1) + 2890: 48 00 02 75 bl 2b04 + 2894: 7c 69 1b 78 mr r9,r3 + 2898: 7d 23 4b 78 mr r3,r9 + 289c: 80 01 00 24 lwz r0,36(r1) + 28a0: 7c 08 03 a6 mtlr r0 + 28a4: 38 21 00 20 addi r1,r1,32 + 28a8: 4e 80 00 20 blr + +000028ac : + 28ac: 94 21 ff 80 stwu r1,-128(r1) + 28b0: 7c 08 02 a6 mflr r0 + 28b4: 90 01 00 84 stw r0,132(r1) + 28b8: 90 61 00 18 stw r3,24(r1) + 28bc: 90 81 00 1c stw r4,28(r1) + 28c0: 90 a1 00 20 stw r5,32(r1) + 28c4: 90 c1 00 24 stw r6,36(r1) + 28c8: 90 e1 00 28 stw r7,40(r1) + 28cc: 91 01 00 2c stw r8,44(r1) + 28d0: 91 21 00 30 stw r9,48(r1) + 28d4: 91 41 00 34 stw r10,52(r1) + 28d8: 40 86 00 24 bne cr1,28fc + 28dc: d8 21 00 38 stfd f1,56(r1) + 28e0: d8 41 00 40 stfd f2,64(r1) + 28e4: d8 61 00 48 stfd f3,72(r1) + 28e8: d8 81 00 50 stfd f4,80(r1) + 28ec: d8 a1 00 58 stfd f5,88(r1) + 28f0: d8 c1 00 60 stfd f6,96(r1) + 28f4: d8 e1 00 68 stfd f7,104(r1) + 28f8: d9 01 00 70 stfd f8,112(r1) + 28fc: 39 20 00 02 li r9,2 + 2900: 99 21 00 0c stb r9,12(r1) + 2904: 39 20 00 00 li r9,0 + 2908: 99 21 00 0d stb r9,13(r1) + 290c: 39 21 00 88 addi r9,r1,136 + 2910: 91 21 00 10 stw r9,16(r1) + 2914: 39 21 00 18 addi r9,r1,24 + 2918: 91 21 00 14 stw r9,20(r1) + 291c: 39 21 00 0c addi r9,r1,12 + 2920: 7d 26 4b 78 mr r6,r9 + 2924: 80 a1 00 1c lwz r5,28(r1) + 2928: 3d 20 7f ff lis r9,32767 + 292c: 61 24 ff ff ori r4,r9,65535 + 2930: 80 61 00 18 lwz r3,24(r1) + 2934: 48 00 01 d1 bl 2b04 + 2938: 7c 69 1b 78 mr r9,r3 + 293c: 91 21 00 08 stw r9,8(r1) + 2940: 81 21 00 08 lwz r9,8(r1) + 2944: 7d 23 4b 78 mr r3,r9 + 2948: 80 01 00 84 lwz r0,132(r1) + 294c: 7c 08 03 a6 mtlr r0 + 2950: 38 21 00 80 addi r1,r1,128 + 2954: 4e 80 00 20 blr + +00002958 : + 2958: 3d 20 00 02 lis r9,2 + 295c: 81 29 e0 14 lwz r9,-8172(r9) + 2960: 1d 29 00 81 mulli r9,r9,129 + 2964: 3d 29 36 19 addis r9,r9,13849 + 2968: 39 49 62 e9 addi r10,r9,25321 + 296c: 3d 20 00 02 lis r9,2 + 2970: 91 49 e0 14 stw r10,-8172(r9) + 2974: 3d 20 00 02 lis r9,2 + 2978: 81 29 e0 14 lwz r9,-8172(r9) + 297c: 7d 23 4b 78 mr r3,r9 + 2980: 4e 80 00 20 blr + +00002984 : + 2984: 94 21 ff f0 stwu r1,-16(r1) + 2988: 90 61 00 08 stw r3,8(r1) + 298c: 3d 20 00 02 lis r9,2 + 2990: 81 41 00 08 lwz r10,8(r1) + 2994: 91 49 e0 14 stw r10,-8172(r9) + 2998: 60 00 00 00 nop + 299c: 38 21 00 10 addi r1,r1,16 + 29a0: 4e 80 00 20 blr + +000029a4 : + 29a4: 94 21 ff f0 stwu r1,-16(r1) + 29a8: 7c 08 02 a6 mflr r0 + 29ac: 90 01 00 14 stw r0,20(r1) + 29b0: 3d 20 00 00 lis r9,0 + 29b4: 38 69 0a 04 addi r3,r9,2564 + 29b8: 4c c6 31 82 crclr 4*cr1+eq + 29bc: 48 00 11 1d bl 3ad8 + 29c0: 48 00 00 00 b 29c0 + +000029c4 : + 29c4: 94 21 ff e0 stwu r1,-32(r1) + 29c8: 7c 08 02 a6 mflr r0 + 29cc: 90 01 00 24 stw r0,36(r1) + 29d0: 90 61 00 18 stw r3,24(r1) + 29d4: 39 20 00 01 li r9,1 + 29d8: 91 21 00 08 stw r9,8(r1) + 29dc: 89 21 00 08 lbz r9,8(r1) + 29e0: 2c 09 00 00 cmpwi r9,0 + 29e4: 41 82 00 14 beq 29f8 + 29e8: 80 61 00 18 lwz r3,24(r1) + 29ec: 4b ff eb 75 bl 1560 <__bswap_32> + 29f0: 7c 69 1b 78 mr r9,r3 + 29f4: 48 00 00 08 b 29fc + 29f8: 81 21 00 18 lwz r9,24(r1) + 29fc: 7d 23 4b 78 mr r3,r9 + 2a00: 80 01 00 24 lwz r0,36(r1) + 2a04: 7c 08 03 a6 mtlr r0 + 2a08: 38 21 00 20 addi r1,r1,32 + 2a0c: 4e 80 00 20 blr + +00002a10 : + 2a10: 94 21 ff e0 stwu r1,-32(r1) + 2a14: 7c 08 02 a6 mflr r0 + 2a18: 90 01 00 24 stw r0,36(r1) + 2a1c: 7c 69 1b 78 mr r9,r3 + 2a20: b1 21 00 18 sth r9,24(r1) + 2a24: 39 20 00 01 li r9,1 + 2a28: 91 21 00 08 stw r9,8(r1) + 2a2c: 89 21 00 08 lbz r9,8(r1) + 2a30: 2c 09 00 00 cmpwi r9,0 + 2a34: 41 82 00 18 beq 2a4c + 2a38: a1 21 00 18 lhz r9,24(r1) + 2a3c: 7d 23 4b 78 mr r3,r9 + 2a40: 4b ff ea e1 bl 1520 <__bswap_16> + 2a44: 7c 69 1b 78 mr r9,r3 + 2a48: 48 00 00 08 b 2a50 + 2a4c: a1 21 00 18 lhz r9,24(r1) + 2a50: 7d 23 4b 78 mr r3,r9 + 2a54: 80 01 00 24 lwz r0,36(r1) + 2a58: 7c 08 03 a6 mtlr r0 + 2a5c: 38 21 00 20 addi r1,r1,32 + 2a60: 4e 80 00 20 blr + +00002a64 : + 2a64: 94 21 ff e0 stwu r1,-32(r1) + 2a68: 7c 08 02 a6 mflr r0 + 2a6c: 90 01 00 24 stw r0,36(r1) + 2a70: 90 61 00 18 stw r3,24(r1) + 2a74: 39 20 00 01 li r9,1 + 2a78: 91 21 00 08 stw r9,8(r1) + 2a7c: 89 21 00 08 lbz r9,8(r1) + 2a80: 2c 09 00 00 cmpwi r9,0 + 2a84: 41 82 00 14 beq 2a98 + 2a88: 80 61 00 18 lwz r3,24(r1) + 2a8c: 4b ff ea d5 bl 1560 <__bswap_32> + 2a90: 7c 69 1b 78 mr r9,r3 + 2a94: 48 00 00 08 b 2a9c + 2a98: 81 21 00 18 lwz r9,24(r1) + 2a9c: 7d 23 4b 78 mr r3,r9 + 2aa0: 80 01 00 24 lwz r0,36(r1) + 2aa4: 7c 08 03 a6 mtlr r0 + 2aa8: 38 21 00 20 addi r1,r1,32 + 2aac: 4e 80 00 20 blr + +00002ab0 : + 2ab0: 94 21 ff e0 stwu r1,-32(r1) + 2ab4: 7c 08 02 a6 mflr r0 + 2ab8: 90 01 00 24 stw r0,36(r1) + 2abc: 7c 69 1b 78 mr r9,r3 + 2ac0: b1 21 00 18 sth r9,24(r1) + 2ac4: 39 20 00 01 li r9,1 + 2ac8: 91 21 00 08 stw r9,8(r1) + 2acc: 89 21 00 08 lbz r9,8(r1) + 2ad0: 2c 09 00 00 cmpwi r9,0 + 2ad4: 41 82 00 18 beq 2aec + 2ad8: a1 21 00 18 lhz r9,24(r1) + 2adc: 7d 23 4b 78 mr r3,r9 + 2ae0: 4b ff ea 41 bl 1520 <__bswap_16> + 2ae4: 7c 69 1b 78 mr r9,r3 + 2ae8: 48 00 00 08 b 2af0 + 2aec: a1 21 00 18 lhz r9,24(r1) + 2af0: 7d 23 4b 78 mr r3,r9 + 2af4: 80 01 00 24 lwz r0,36(r1) + 2af8: 7c 08 03 a6 mtlr r0 + 2afc: 38 21 00 20 addi r1,r1,32 + 2b00: 4e 80 00 20 blr + +00002b04 : + 2b04: 94 21 ff a0 stwu r1,-96(r1) + 2b08: 7c 08 02 a6 mflr r0 + 2b0c: 90 01 00 64 stw r0,100(r1) + 2b10: 90 61 00 48 stw r3,72(r1) + 2b14: 90 81 00 4c stw r4,76(r1) + 2b18: 90 a1 00 50 stw r5,80(r1) + 2b1c: 90 c1 00 54 stw r6,84(r1) + 2b20: 81 21 00 4c lwz r9,76(r1) + 2b24: 2c 09 00 00 cmpwi r9,0 + 2b28: 40 80 00 0c bge 2b34 + 2b2c: 39 20 00 00 li r9,0 + 2b30: 48 00 0d 3c b 386c + 2b34: 81 21 00 48 lwz r9,72(r1) + 2b38: 91 21 00 18 stw r9,24(r1) + 2b3c: 81 41 00 48 lwz r10,72(r1) + 2b40: 81 21 00 4c lwz r9,76(r1) + 2b44: 7d 2a 4a 14 add r9,r10,r9 + 2b48: 91 21 00 1c stw r9,28(r1) + 2b4c: 81 41 00 1c lwz r10,28(r1) + 2b50: 81 21 00 48 lwz r9,72(r1) + 2b54: 7c 0a 48 40 cmplw r10,r9 + 2b58: 40 80 0c bc bge 3814 + 2b5c: 39 20 ff ff li r9,-1 + 2b60: 91 21 00 1c stw r9,28(r1) + 2b64: 81 41 00 1c lwz r10,28(r1) + 2b68: 81 21 00 48 lwz r9,72(r1) + 2b6c: 7d 29 50 50 subf r9,r9,r10 + 2b70: 91 21 00 4c stw r9,76(r1) + 2b74: 48 00 0c a0 b 3814 + 2b78: 81 21 00 50 lwz r9,80(r1) + 2b7c: 89 29 00 00 lbz r9,0(r9) + 2b80: 28 09 00 25 cmplwi r9,37 + 2b84: 41 82 00 34 beq 2bb8 + 2b88: 81 41 00 18 lwz r10,24(r1) + 2b8c: 81 21 00 1c lwz r9,28(r1) + 2b90: 7c 0a 48 40 cmplw r10,r9 + 2b94: 40 80 00 14 bge 2ba8 + 2b98: 81 21 00 50 lwz r9,80(r1) + 2b9c: 89 49 00 00 lbz r10,0(r9) + 2ba0: 81 21 00 18 lwz r9,24(r1) + 2ba4: 99 49 00 00 stb r10,0(r9) + 2ba8: 81 21 00 18 lwz r9,24(r1) + 2bac: 39 29 00 01 addi r9,r9,1 + 2bb0: 91 21 00 18 stw r9,24(r1) + 2bb4: 48 00 0c 54 b 3808 + 2bb8: 39 20 00 00 li r9,0 + 2bbc: 91 21 00 24 stw r9,36(r1) + 2bc0: 81 21 00 50 lwz r9,80(r1) + 2bc4: 39 29 00 01 addi r9,r9,1 + 2bc8: 91 21 00 50 stw r9,80(r1) + 2bcc: 81 21 00 50 lwz r9,80(r1) + 2bd0: 89 29 00 00 lbz r9,0(r9) + 2bd4: 39 29 ff e0 addi r9,r9,-32 + 2bd8: 28 09 00 10 cmplwi r9,16 + 2bdc: 41 81 00 7c bgt 2c58 + 2be0: 55 2a 10 3a rlwinm r10,r9,2,0,29 + 2be4: 3d 20 00 00 lis r9,0 + 2be8: 39 29 0a 68 addi r9,r9,2664 + 2bec: 7d 2a 4a 14 add r9,r10,r9 + 2bf0: 81 49 00 00 lwz r10,0(r9) + 2bf4: 3d 20 00 00 lis r9,0 + 2bf8: 39 29 0a 68 addi r9,r9,2664 + 2bfc: 7d 2a 4a 14 add r9,r10,r9 + 2c00: 7d 29 03 a6 mtctr r9 + 2c04: 4e 80 04 20 bctr + 2c08: 81 21 00 24 lwz r9,36(r1) + 2c0c: 61 29 00 10 ori r9,r9,16 + 2c10: 91 21 00 24 stw r9,36(r1) + 2c14: 4b ff ff ac b 2bc0 + 2c18: 81 21 00 24 lwz r9,36(r1) + 2c1c: 61 29 00 04 ori r9,r9,4 + 2c20: 91 21 00 24 stw r9,36(r1) + 2c24: 4b ff ff 9c b 2bc0 + 2c28: 81 21 00 24 lwz r9,36(r1) + 2c2c: 61 29 00 08 ori r9,r9,8 + 2c30: 91 21 00 24 stw r9,36(r1) + 2c34: 4b ff ff 8c b 2bc0 + 2c38: 81 21 00 24 lwz r9,36(r1) + 2c3c: 61 29 00 20 ori r9,r9,32 + 2c40: 91 21 00 24 stw r9,36(r1) + 2c44: 4b ff ff 7c b 2bc0 + 2c48: 81 21 00 24 lwz r9,36(r1) + 2c4c: 61 29 00 01 ori r9,r9,1 + 2c50: 91 21 00 24 stw r9,36(r1) + 2c54: 4b ff ff 6c b 2bc0 + 2c58: 39 20 ff ff li r9,-1 + 2c5c: 91 21 00 28 stw r9,40(r1) + 2c60: 81 21 00 50 lwz r9,80(r1) + 2c64: 89 29 00 00 lbz r9,0(r9) + 2c68: 7d 2a 4b 78 mr r10,r9 + 2c6c: 3d 20 00 00 lis r9,0 + 2c70: 39 29 09 04 addi r9,r9,2308 + 2c74: 7d 29 50 ae lbzx r9,r9,r10 + 2c78: 55 29 07 7a rlwinm r9,r9,0,29,29 + 2c7c: 2c 09 00 00 cmpwi r9,0 + 2c80: 41 82 00 1c beq 2c9c + 2c84: 39 21 00 50 addi r9,r1,80 + 2c88: 7d 23 4b 78 mr r3,r9 + 2c8c: 4b ff f5 01 bl 218c + 2c90: 7c 69 1b 78 mr r9,r3 + 2c94: 91 21 00 28 stw r9,40(r1) + 2c98: 48 00 00 9c b 2d34 + 2c9c: 81 21 00 50 lwz r9,80(r1) + 2ca0: 89 29 00 00 lbz r9,0(r9) + 2ca4: 28 09 00 2a cmplwi r9,42 + 2ca8: 40 82 00 8c bne 2d34 + 2cac: 81 21 00 50 lwz r9,80(r1) + 2cb0: 39 29 00 01 addi r9,r9,1 + 2cb4: 91 21 00 50 stw r9,80(r1) + 2cb8: 81 21 00 54 lwz r9,84(r1) + 2cbc: 89 29 00 00 lbz r9,0(r9) + 2cc0: 28 09 00 07 cmplwi r9,7 + 2cc4: 41 81 00 30 bgt 2cf4 + 2cc8: 81 21 00 54 lwz r9,84(r1) + 2ccc: 81 49 00 08 lwz r10,8(r9) + 2cd0: 81 21 00 54 lwz r9,84(r1) + 2cd4: 89 29 00 00 lbz r9,0(r9) + 2cd8: 39 09 00 01 addi r8,r9,1 + 2cdc: 55 07 06 3e clrlwi r7,r8,24 + 2ce0: 81 01 00 54 lwz r8,84(r1) + 2ce4: 98 e8 00 00 stb r7,0(r8) + 2ce8: 55 29 10 3a rlwinm r9,r9,2,0,29 + 2cec: 7d 2a 4a 14 add r9,r10,r9 + 2cf0: 48 00 00 18 b 2d08 + 2cf4: 81 21 00 54 lwz r9,84(r1) + 2cf8: 81 29 00 04 lwz r9,4(r9) + 2cfc: 39 09 00 04 addi r8,r9,4 + 2d00: 81 41 00 54 lwz r10,84(r1) + 2d04: 91 0a 00 04 stw r8,4(r10) + 2d08: 81 29 00 00 lwz r9,0(r9) + 2d0c: 91 21 00 28 stw r9,40(r1) + 2d10: 81 21 00 28 lwz r9,40(r1) + 2d14: 2c 09 00 00 cmpwi r9,0 + 2d18: 40 80 00 1c bge 2d34 + 2d1c: 81 21 00 28 lwz r9,40(r1) + 2d20: 7d 29 00 d0 neg r9,r9 + 2d24: 91 21 00 28 stw r9,40(r1) + 2d28: 81 21 00 24 lwz r9,36(r1) + 2d2c: 61 29 00 10 ori r9,r9,16 + 2d30: 91 21 00 24 stw r9,36(r1) + 2d34: 39 20 ff ff li r9,-1 + 2d38: 91 21 00 2c stw r9,44(r1) + 2d3c: 81 21 00 50 lwz r9,80(r1) + 2d40: 89 29 00 00 lbz r9,0(r9) + 2d44: 28 09 00 2e cmplwi r9,46 + 2d48: 40 82 00 d4 bne 2e1c + 2d4c: 81 21 00 50 lwz r9,80(r1) + 2d50: 39 29 00 01 addi r9,r9,1 + 2d54: 91 21 00 50 stw r9,80(r1) + 2d58: 81 21 00 50 lwz r9,80(r1) + 2d5c: 89 29 00 00 lbz r9,0(r9) + 2d60: 7d 2a 4b 78 mr r10,r9 + 2d64: 3d 20 00 00 lis r9,0 + 2d68: 39 29 09 04 addi r9,r9,2308 + 2d6c: 7d 29 50 ae lbzx r9,r9,r10 + 2d70: 55 29 07 7a rlwinm r9,r9,0,29,29 + 2d74: 2c 09 00 00 cmpwi r9,0 + 2d78: 41 82 00 1c beq 2d94 + 2d7c: 39 21 00 50 addi r9,r1,80 + 2d80: 7d 23 4b 78 mr r3,r9 + 2d84: 4b ff f4 09 bl 218c + 2d88: 7c 69 1b 78 mr r9,r3 + 2d8c: 91 21 00 2c stw r9,44(r1) + 2d90: 48 00 00 78 b 2e08 + 2d94: 81 21 00 50 lwz r9,80(r1) + 2d98: 89 29 00 00 lbz r9,0(r9) + 2d9c: 28 09 00 2a cmplwi r9,42 + 2da0: 40 82 00 68 bne 2e08 + 2da4: 81 21 00 50 lwz r9,80(r1) + 2da8: 39 29 00 01 addi r9,r9,1 + 2dac: 91 21 00 50 stw r9,80(r1) + 2db0: 81 21 00 54 lwz r9,84(r1) + 2db4: 89 29 00 00 lbz r9,0(r9) + 2db8: 28 09 00 07 cmplwi r9,7 + 2dbc: 41 81 00 30 bgt 2dec + 2dc0: 81 21 00 54 lwz r9,84(r1) + 2dc4: 81 49 00 08 lwz r10,8(r9) + 2dc8: 81 21 00 54 lwz r9,84(r1) + 2dcc: 89 29 00 00 lbz r9,0(r9) + 2dd0: 39 09 00 01 addi r8,r9,1 + 2dd4: 55 07 06 3e clrlwi r7,r8,24 + 2dd8: 81 01 00 54 lwz r8,84(r1) + 2ddc: 98 e8 00 00 stb r7,0(r8) + 2de0: 55 29 10 3a rlwinm r9,r9,2,0,29 + 2de4: 7d 2a 4a 14 add r9,r10,r9 + 2de8: 48 00 00 18 b 2e00 + 2dec: 81 21 00 54 lwz r9,84(r1) + 2df0: 81 29 00 04 lwz r9,4(r9) + 2df4: 39 09 00 04 addi r8,r9,4 + 2df8: 81 41 00 54 lwz r10,84(r1) + 2dfc: 91 0a 00 04 stw r8,4(r10) + 2e00: 81 29 00 00 lwz r9,0(r9) + 2e04: 91 21 00 2c stw r9,44(r1) + 2e08: 81 21 00 2c lwz r9,44(r1) + 2e0c: 2c 09 00 00 cmpwi r9,0 + 2e10: 40 80 00 0c bge 2e1c + 2e14: 39 20 00 00 li r9,0 + 2e18: 91 21 00 2c stw r9,44(r1) + 2e1c: 39 20 ff ff li r9,-1 + 2e20: 91 21 00 30 stw r9,48(r1) + 2e24: 81 21 00 50 lwz r9,80(r1) + 2e28: 89 29 00 00 lbz r9,0(r9) + 2e2c: 28 09 00 68 cmplwi r9,104 + 2e30: 41 82 00 54 beq 2e84 + 2e34: 81 21 00 50 lwz r9,80(r1) + 2e38: 89 29 00 00 lbz r9,0(r9) + 2e3c: 28 09 00 6c cmplwi r9,108 + 2e40: 41 82 00 44 beq 2e84 + 2e44: 81 21 00 50 lwz r9,80(r1) + 2e48: 89 29 00 00 lbz r9,0(r9) + 2e4c: 28 09 00 4c cmplwi r9,76 + 2e50: 41 82 00 34 beq 2e84 + 2e54: 81 21 00 50 lwz r9,80(r1) + 2e58: 89 29 00 00 lbz r9,0(r9) + 2e5c: 28 09 00 5a cmplwi r9,90 + 2e60: 41 82 00 24 beq 2e84 + 2e64: 81 21 00 50 lwz r9,80(r1) + 2e68: 89 29 00 00 lbz r9,0(r9) + 2e6c: 28 09 00 7a cmplwi r9,122 + 2e70: 41 82 00 14 beq 2e84 + 2e74: 81 21 00 50 lwz r9,80(r1) + 2e78: 89 29 00 00 lbz r9,0(r9) + 2e7c: 28 09 00 74 cmplwi r9,116 + 2e80: 40 82 00 4c bne 2ecc + 2e84: 81 21 00 50 lwz r9,80(r1) + 2e88: 89 29 00 00 lbz r9,0(r9) + 2e8c: 91 21 00 30 stw r9,48(r1) + 2e90: 81 21 00 50 lwz r9,80(r1) + 2e94: 39 29 00 01 addi r9,r9,1 + 2e98: 91 21 00 50 stw r9,80(r1) + 2e9c: 81 21 00 30 lwz r9,48(r1) + 2ea0: 2c 09 00 6c cmpwi r9,108 + 2ea4: 40 82 00 28 bne 2ecc + 2ea8: 81 21 00 50 lwz r9,80(r1) + 2eac: 89 29 00 00 lbz r9,0(r9) + 2eb0: 28 09 00 6c cmplwi r9,108 + 2eb4: 40 82 00 18 bne 2ecc + 2eb8: 39 20 00 4c li r9,76 + 2ebc: 91 21 00 30 stw r9,48(r1) + 2ec0: 81 21 00 50 lwz r9,80(r1) + 2ec4: 39 29 00 01 addi r9,r9,1 + 2ec8: 91 21 00 50 stw r9,80(r1) + 2ecc: 39 20 00 0a li r9,10 + 2ed0: 91 21 00 14 stw r9,20(r1) + 2ed4: 81 21 00 50 lwz r9,80(r1) + 2ed8: 89 29 00 00 lbz r9,0(r9) + 2edc: 39 29 ff db addi r9,r9,-37 + 2ee0: 28 09 00 53 cmplwi r9,83 + 2ee4: 41 81 05 50 bgt 3434 + 2ee8: 55 2a 10 3a rlwinm r10,r9,2,0,29 + 2eec: 3d 20 00 00 lis r9,0 + 2ef0: 39 29 0a ac addi r9,r9,2732 + 2ef4: 7d 2a 4a 14 add r9,r10,r9 + 2ef8: 81 49 00 00 lwz r10,0(r9) + 2efc: 3d 20 00 00 lis r9,0 + 2f00: 39 29 0a ac addi r9,r9,2732 + 2f04: 7d 2a 4a 14 add r9,r10,r9 + 2f08: 7d 29 03 a6 mtctr r9 + 2f0c: 4e 80 04 20 bctr + 2f10: 81 21 00 24 lwz r9,36(r1) + 2f14: 55 29 06 f6 rlwinm r9,r9,0,27,27 + 2f18: 2c 09 00 00 cmpwi r9,0 + 2f1c: 40 82 00 48 bne 2f64 + 2f20: 48 00 00 2c b 2f4c + 2f24: 81 41 00 18 lwz r10,24(r1) + 2f28: 81 21 00 1c lwz r9,28(r1) + 2f2c: 7c 0a 48 40 cmplw r10,r9 + 2f30: 40 80 00 10 bge 2f40 + 2f34: 81 21 00 18 lwz r9,24(r1) + 2f38: 39 40 00 20 li r10,32 + 2f3c: 99 49 00 00 stb r10,0(r9) + 2f40: 81 21 00 18 lwz r9,24(r1) + 2f44: 39 29 00 01 addi r9,r9,1 + 2f48: 91 21 00 18 stw r9,24(r1) + 2f4c: 81 21 00 28 lwz r9,40(r1) + 2f50: 39 29 ff ff addi r9,r9,-1 + 2f54: 91 21 00 28 stw r9,40(r1) + 2f58: 81 21 00 28 lwz r9,40(r1) + 2f5c: 2c 09 00 00 cmpwi r9,0 + 2f60: 41 81 ff c4 bgt 2f24 + 2f64: 81 21 00 54 lwz r9,84(r1) + 2f68: 89 29 00 00 lbz r9,0(r9) + 2f6c: 28 09 00 07 cmplwi r9,7 + 2f70: 41 81 00 30 bgt 2fa0 + 2f74: 81 21 00 54 lwz r9,84(r1) + 2f78: 81 49 00 08 lwz r10,8(r9) + 2f7c: 81 21 00 54 lwz r9,84(r1) + 2f80: 89 29 00 00 lbz r9,0(r9) + 2f84: 39 09 00 01 addi r8,r9,1 + 2f88: 55 07 06 3e clrlwi r7,r8,24 + 2f8c: 81 01 00 54 lwz r8,84(r1) + 2f90: 98 e8 00 00 stb r7,0(r8) + 2f94: 55 29 10 3a rlwinm r9,r9,2,0,29 + 2f98: 7d 2a 4a 14 add r9,r10,r9 + 2f9c: 48 00 00 18 b 2fb4 + 2fa0: 81 21 00 54 lwz r9,84(r1) + 2fa4: 81 29 00 04 lwz r9,4(r9) + 2fa8: 39 09 00 04 addi r8,r9,4 + 2fac: 81 41 00 54 lwz r10,84(r1) + 2fb0: 91 0a 00 04 stw r8,4(r10) + 2fb4: 81 29 00 00 lwz r9,0(r9) + 2fb8: 99 21 00 44 stb r9,68(r1) + 2fbc: 81 41 00 18 lwz r10,24(r1) + 2fc0: 81 21 00 1c lwz r9,28(r1) + 2fc4: 7c 0a 48 40 cmplw r10,r9 + 2fc8: 40 80 00 10 bge 2fd8 + 2fcc: 81 21 00 18 lwz r9,24(r1) + 2fd0: 89 41 00 44 lbz r10,68(r1) + 2fd4: 99 49 00 00 stb r10,0(r9) + 2fd8: 81 21 00 18 lwz r9,24(r1) + 2fdc: 39 29 00 01 addi r9,r9,1 + 2fe0: 91 21 00 18 stw r9,24(r1) + 2fe4: 48 00 00 2c b 3010 + 2fe8: 81 41 00 18 lwz r10,24(r1) + 2fec: 81 21 00 1c lwz r9,28(r1) + 2ff0: 7c 0a 48 40 cmplw r10,r9 + 2ff4: 40 80 00 10 bge 3004 + 2ff8: 81 21 00 18 lwz r9,24(r1) + 2ffc: 39 40 00 20 li r10,32 + 3000: 99 49 00 00 stb r10,0(r9) + 3004: 81 21 00 18 lwz r9,24(r1) + 3008: 39 29 00 01 addi r9,r9,1 + 300c: 91 21 00 18 stw r9,24(r1) + 3010: 81 21 00 28 lwz r9,40(r1) + 3014: 39 29 ff ff addi r9,r9,-1 + 3018: 91 21 00 28 stw r9,40(r1) + 301c: 81 21 00 28 lwz r9,40(r1) + 3020: 2c 09 00 00 cmpwi r9,0 + 3024: 41 81 ff c4 bgt 2fe8 + 3028: 48 00 07 e0 b 3808 + 302c: 81 21 00 54 lwz r9,84(r1) + 3030: 89 29 00 00 lbz r9,0(r9) + 3034: 28 09 00 07 cmplwi r9,7 + 3038: 41 81 00 30 bgt 3068 + 303c: 81 21 00 54 lwz r9,84(r1) + 3040: 81 49 00 08 lwz r10,8(r9) + 3044: 81 21 00 54 lwz r9,84(r1) + 3048: 89 29 00 00 lbz r9,0(r9) + 304c: 39 09 00 01 addi r8,r9,1 + 3050: 55 07 06 3e clrlwi r7,r8,24 + 3054: 81 01 00 54 lwz r8,84(r1) + 3058: 98 e8 00 00 stb r7,0(r8) + 305c: 55 29 10 3a rlwinm r9,r9,2,0,29 + 3060: 7d 2a 4a 14 add r9,r10,r9 + 3064: 48 00 00 18 b 307c + 3068: 81 21 00 54 lwz r9,84(r1) + 306c: 81 29 00 04 lwz r9,4(r9) + 3070: 39 09 00 04 addi r8,r9,4 + 3074: 81 41 00 54 lwz r10,84(r1) + 3078: 91 0a 00 04 stw r8,4(r10) + 307c: 81 29 00 00 lwz r9,0(r9) + 3080: 91 21 00 20 stw r9,32(r1) + 3084: 81 21 00 20 lwz r9,32(r1) + 3088: 2c 09 00 00 cmpwi r9,0 + 308c: 40 82 00 10 bne 309c + 3090: 3d 20 00 00 lis r9,0 + 3094: 39 29 0a 60 addi r9,r9,2656 + 3098: 91 21 00 20 stw r9,32(r1) + 309c: 81 21 00 2c lwz r9,44(r1) + 30a0: 7d 24 4b 78 mr r4,r9 + 30a4: 80 61 00 20 lwz r3,32(r1) + 30a8: 4b ff ea 25 bl 1acc + 30ac: 7c 69 1b 78 mr r9,r3 + 30b0: 91 21 00 34 stw r9,52(r1) + 30b4: 81 21 00 24 lwz r9,36(r1) + 30b8: 55 29 06 f6 rlwinm r9,r9,0,27,27 + 30bc: 2c 09 00 00 cmpwi r9,0 + 30c0: 40 82 00 48 bne 3108 + 30c4: 48 00 00 2c b 30f0 + 30c8: 81 41 00 18 lwz r10,24(r1) + 30cc: 81 21 00 1c lwz r9,28(r1) + 30d0: 7c 0a 48 40 cmplw r10,r9 + 30d4: 40 80 00 10 bge 30e4 + 30d8: 81 21 00 18 lwz r9,24(r1) + 30dc: 39 40 00 20 li r10,32 + 30e0: 99 49 00 00 stb r10,0(r9) + 30e4: 81 21 00 18 lwz r9,24(r1) + 30e8: 39 29 00 01 addi r9,r9,1 + 30ec: 91 21 00 18 stw r9,24(r1) + 30f0: 81 21 00 28 lwz r9,40(r1) + 30f4: 39 49 ff ff addi r10,r9,-1 + 30f8: 91 41 00 28 stw r10,40(r1) + 30fc: 81 41 00 34 lwz r10,52(r1) + 3100: 7c 0a 48 00 cmpw r10,r9 + 3104: 41 80 ff c4 blt 30c8 + 3108: 39 20 00 00 li r9,0 + 310c: 91 21 00 10 stw r9,16(r1) + 3110: 48 00 00 48 b 3158 + 3114: 81 41 00 18 lwz r10,24(r1) + 3118: 81 21 00 1c lwz r9,28(r1) + 311c: 7c 0a 48 40 cmplw r10,r9 + 3120: 40 80 00 14 bge 3134 + 3124: 81 21 00 20 lwz r9,32(r1) + 3128: 89 49 00 00 lbz r10,0(r9) + 312c: 81 21 00 18 lwz r9,24(r1) + 3130: 99 49 00 00 stb r10,0(r9) + 3134: 81 21 00 18 lwz r9,24(r1) + 3138: 39 29 00 01 addi r9,r9,1 + 313c: 91 21 00 18 stw r9,24(r1) + 3140: 81 21 00 20 lwz r9,32(r1) + 3144: 39 29 00 01 addi r9,r9,1 + 3148: 91 21 00 20 stw r9,32(r1) + 314c: 81 21 00 10 lwz r9,16(r1) + 3150: 39 29 00 01 addi r9,r9,1 + 3154: 91 21 00 10 stw r9,16(r1) + 3158: 81 41 00 10 lwz r10,16(r1) + 315c: 81 21 00 34 lwz r9,52(r1) + 3160: 7c 0a 48 00 cmpw r10,r9 + 3164: 41 80 ff b0 blt 3114 + 3168: 48 00 00 2c b 3194 + 316c: 81 41 00 18 lwz r10,24(r1) + 3170: 81 21 00 1c lwz r9,28(r1) + 3174: 7c 0a 48 40 cmplw r10,r9 + 3178: 40 80 00 10 bge 3188 + 317c: 81 21 00 18 lwz r9,24(r1) + 3180: 39 40 00 20 li r10,32 + 3184: 99 49 00 00 stb r10,0(r9) + 3188: 81 21 00 18 lwz r9,24(r1) + 318c: 39 29 00 01 addi r9,r9,1 + 3190: 91 21 00 18 stw r9,24(r1) + 3194: 81 21 00 28 lwz r9,40(r1) + 3198: 39 49 ff ff addi r10,r9,-1 + 319c: 91 41 00 28 stw r10,40(r1) + 31a0: 81 41 00 34 lwz r10,52(r1) + 31a4: 7c 0a 48 00 cmpw r10,r9 + 31a8: 41 80 ff c4 blt 316c + 31ac: 48 00 06 5c b 3808 + 31b0: 81 41 00 28 lwz r10,40(r1) + 31b4: 39 20 ff ff li r9,-1 + 31b8: 7c 0a 48 00 cmpw r10,r9 + 31bc: 40 82 00 18 bne 31d4 + 31c0: 39 20 00 08 li r9,8 + 31c4: 91 21 00 28 stw r9,40(r1) + 31c8: 81 21 00 24 lwz r9,36(r1) + 31cc: 61 29 00 21 ori r9,r9,33 + 31d0: 91 21 00 24 stw r9,36(r1) + 31d4: 81 21 00 54 lwz r9,84(r1) + 31d8: 89 29 00 00 lbz r9,0(r9) + 31dc: 28 09 00 07 cmplwi r9,7 + 31e0: 41 81 00 30 bgt 3210 + 31e4: 81 21 00 54 lwz r9,84(r1) + 31e8: 81 49 00 08 lwz r10,8(r9) + 31ec: 81 21 00 54 lwz r9,84(r1) + 31f0: 89 29 00 00 lbz r9,0(r9) + 31f4: 39 09 00 01 addi r8,r9,1 + 31f8: 55 07 06 3e clrlwi r7,r8,24 + 31fc: 81 01 00 54 lwz r8,84(r1) + 3200: 98 e8 00 00 stb r7,0(r8) + 3204: 55 29 10 3a rlwinm r9,r9,2,0,29 + 3208: 7d 2a 4a 14 add r9,r10,r9 + 320c: 48 00 00 18 b 3224 + 3210: 81 21 00 54 lwz r9,84(r1) + 3214: 81 29 00 04 lwz r9,4(r9) + 3218: 39 09 00 04 addi r8,r9,4 + 321c: 81 41 00 54 lwz r10,84(r1) + 3220: 91 0a 00 04 stw r8,4(r10) + 3224: 81 29 00 00 lwz r9,0(r9) + 3228: 7d 2a 4b 78 mr r10,r9 + 322c: 81 21 00 24 lwz r9,36(r1) + 3230: 81 01 00 2c lwz r8,44(r1) + 3234: 80 e1 00 28 lwz r7,40(r1) + 3238: 38 c0 00 10 li r6,16 + 323c: 7d 45 53 78 mr r5,r10 + 3240: 80 81 00 1c lwz r4,28(r1) + 3244: 80 61 00 18 lwz r3,24(r1) + 3248: 4b ff ef bd bl 2204 + 324c: 7c 69 1b 78 mr r9,r3 + 3250: 91 21 00 18 stw r9,24(r1) + 3254: 48 00 05 b4 b 3808 + 3258: 81 21 00 30 lwz r9,48(r1) + 325c: 2c 09 00 6c cmpwi r9,108 + 3260: 40 82 00 74 bne 32d4 + 3264: 81 21 00 54 lwz r9,84(r1) + 3268: 89 29 00 00 lbz r9,0(r9) + 326c: 28 09 00 07 cmplwi r9,7 + 3270: 41 81 00 30 bgt 32a0 + 3274: 81 21 00 54 lwz r9,84(r1) + 3278: 81 49 00 08 lwz r10,8(r9) + 327c: 81 21 00 54 lwz r9,84(r1) + 3280: 89 29 00 00 lbz r9,0(r9) + 3284: 39 09 00 01 addi r8,r9,1 + 3288: 55 07 06 3e clrlwi r7,r8,24 + 328c: 81 01 00 54 lwz r8,84(r1) + 3290: 98 e8 00 00 stb r7,0(r8) + 3294: 55 29 10 3a rlwinm r9,r9,2,0,29 + 3298: 7d 2a 4a 14 add r9,r10,r9 + 329c: 48 00 00 18 b 32b4 + 32a0: 81 21 00 54 lwz r9,84(r1) + 32a4: 81 29 00 04 lwz r9,4(r9) + 32a8: 39 09 00 04 addi r8,r9,4 + 32ac: 81 41 00 54 lwz r10,84(r1) + 32b0: 91 0a 00 04 stw r8,4(r10) + 32b4: 81 29 00 00 lwz r9,0(r9) + 32b8: 91 21 00 40 stw r9,64(r1) + 32bc: 81 41 00 18 lwz r10,24(r1) + 32c0: 81 21 00 48 lwz r9,72(r1) + 32c4: 7d 49 50 50 subf r10,r9,r10 + 32c8: 81 21 00 40 lwz r9,64(r1) + 32cc: 91 49 00 00 stw r10,0(r9) + 32d0: 48 00 05 38 b 3808 + 32d4: 81 21 00 30 lwz r9,48(r1) + 32d8: 2c 09 00 5a cmpwi r9,90 + 32dc: 41 82 00 10 beq 32ec + 32e0: 81 21 00 30 lwz r9,48(r1) + 32e4: 2c 09 00 7a cmpwi r9,122 + 32e8: 40 82 00 7c bne 3364 + 32ec: 81 21 00 54 lwz r9,84(r1) + 32f0: 89 29 00 00 lbz r9,0(r9) + 32f4: 28 09 00 07 cmplwi r9,7 + 32f8: 41 81 00 30 bgt 3328 + 32fc: 81 21 00 54 lwz r9,84(r1) + 3300: 81 49 00 08 lwz r10,8(r9) + 3304: 81 21 00 54 lwz r9,84(r1) + 3308: 89 29 00 00 lbz r9,0(r9) + 330c: 39 09 00 01 addi r8,r9,1 + 3310: 55 07 06 3e clrlwi r7,r8,24 + 3314: 81 01 00 54 lwz r8,84(r1) + 3318: 98 e8 00 00 stb r7,0(r8) + 331c: 55 29 10 3a rlwinm r9,r9,2,0,29 + 3320: 7d 2a 4a 14 add r9,r10,r9 + 3324: 48 00 00 18 b 333c + 3328: 81 21 00 54 lwz r9,84(r1) + 332c: 81 29 00 04 lwz r9,4(r9) + 3330: 39 09 00 04 addi r8,r9,4 + 3334: 81 41 00 54 lwz r10,84(r1) + 3338: 91 0a 00 04 stw r8,4(r10) + 333c: 81 29 00 00 lwz r9,0(r9) + 3340: 91 21 00 3c stw r9,60(r1) + 3344: 81 41 00 18 lwz r10,24(r1) + 3348: 81 21 00 48 lwz r9,72(r1) + 334c: 7d 29 50 50 subf r9,r9,r10 + 3350: 7d 2a 4b 78 mr r10,r9 + 3354: 81 21 00 3c lwz r9,60(r1) + 3358: 91 49 00 00 stw r10,0(r9) + 335c: 60 00 00 00 nop + 3360: 48 00 04 a8 b 3808 + 3364: 81 21 00 54 lwz r9,84(r1) + 3368: 89 29 00 00 lbz r9,0(r9) + 336c: 28 09 00 07 cmplwi r9,7 + 3370: 41 81 00 30 bgt 33a0 + 3374: 81 21 00 54 lwz r9,84(r1) + 3378: 81 49 00 08 lwz r10,8(r9) + 337c: 81 21 00 54 lwz r9,84(r1) + 3380: 89 29 00 00 lbz r9,0(r9) + 3384: 39 09 00 01 addi r8,r9,1 + 3388: 55 07 06 3e clrlwi r7,r8,24 + 338c: 81 01 00 54 lwz r8,84(r1) + 3390: 98 e8 00 00 stb r7,0(r8) + 3394: 55 29 10 3a rlwinm r9,r9,2,0,29 + 3398: 7d 2a 4a 14 add r9,r10,r9 + 339c: 48 00 00 18 b 33b4 + 33a0: 81 21 00 54 lwz r9,84(r1) + 33a4: 81 29 00 04 lwz r9,4(r9) + 33a8: 39 09 00 04 addi r8,r9,4 + 33ac: 81 41 00 54 lwz r10,84(r1) + 33b0: 91 0a 00 04 stw r8,4(r10) + 33b4: 81 29 00 00 lwz r9,0(r9) + 33b8: 91 21 00 38 stw r9,56(r1) + 33bc: 81 41 00 18 lwz r10,24(r1) + 33c0: 81 21 00 48 lwz r9,72(r1) + 33c4: 7d 49 50 50 subf r10,r9,r10 + 33c8: 81 21 00 38 lwz r9,56(r1) + 33cc: 91 49 00 00 stw r10,0(r9) + 33d0: 48 00 04 38 b 3808 + 33d4: 81 41 00 18 lwz r10,24(r1) + 33d8: 81 21 00 1c lwz r9,28(r1) + 33dc: 7c 0a 48 40 cmplw r10,r9 + 33e0: 40 80 00 10 bge 33f0 + 33e4: 81 21 00 18 lwz r9,24(r1) + 33e8: 39 40 00 25 li r10,37 + 33ec: 99 49 00 00 stb r10,0(r9) + 33f0: 81 21 00 18 lwz r9,24(r1) + 33f4: 39 29 00 01 addi r9,r9,1 + 33f8: 91 21 00 18 stw r9,24(r1) + 33fc: 48 00 04 0c b 3808 + 3400: 39 20 00 08 li r9,8 + 3404: 91 21 00 14 stw r9,20(r1) + 3408: 48 00 00 a8 b 34b0 + 340c: 81 21 00 24 lwz r9,36(r1) + 3410: 61 29 00 40 ori r9,r9,64 + 3414: 91 21 00 24 stw r9,36(r1) + 3418: 39 20 00 10 li r9,16 + 341c: 91 21 00 14 stw r9,20(r1) + 3420: 48 00 00 90 b 34b0 + 3424: 81 21 00 24 lwz r9,36(r1) + 3428: 61 29 00 02 ori r9,r9,2 + 342c: 91 21 00 24 stw r9,36(r1) + 3430: 48 00 00 7c b 34ac + 3434: 81 41 00 18 lwz r10,24(r1) + 3438: 81 21 00 1c lwz r9,28(r1) + 343c: 7c 0a 48 40 cmplw r10,r9 + 3440: 40 80 00 10 bge 3450 + 3444: 81 21 00 18 lwz r9,24(r1) + 3448: 39 40 00 25 li r10,37 + 344c: 99 49 00 00 stb r10,0(r9) + 3450: 81 21 00 18 lwz r9,24(r1) + 3454: 39 29 00 01 addi r9,r9,1 + 3458: 91 21 00 18 stw r9,24(r1) + 345c: 81 21 00 50 lwz r9,80(r1) + 3460: 89 29 00 00 lbz r9,0(r9) + 3464: 2c 09 00 00 cmpwi r9,0 + 3468: 41 82 00 34 beq 349c + 346c: 81 41 00 18 lwz r10,24(r1) + 3470: 81 21 00 1c lwz r9,28(r1) + 3474: 7c 0a 48 40 cmplw r10,r9 + 3478: 40 80 00 14 bge 348c + 347c: 81 21 00 50 lwz r9,80(r1) + 3480: 89 49 00 00 lbz r10,0(r9) + 3484: 81 21 00 18 lwz r9,24(r1) + 3488: 99 49 00 00 stb r10,0(r9) + 348c: 81 21 00 18 lwz r9,24(r1) + 3490: 39 29 00 01 addi r9,r9,1 + 3494: 91 21 00 18 stw r9,24(r1) + 3498: 48 00 03 70 b 3808 + 349c: 81 21 00 50 lwz r9,80(r1) + 34a0: 39 29 ff ff addi r9,r9,-1 + 34a4: 91 21 00 50 stw r9,80(r1) + 34a8: 48 00 03 60 b 3808 + 34ac: 60 00 00 00 nop + 34b0: 81 21 00 30 lwz r9,48(r1) + 34b4: 2c 09 00 4c cmpwi r9,76 + 34b8: 40 82 00 90 bne 3548 + 34bc: 81 21 00 54 lwz r9,84(r1) + 34c0: 89 29 00 00 lbz r9,0(r9) + 34c4: 81 41 00 54 lwz r10,84(r1) + 34c8: 89 4a 00 00 lbz r10,0(r10) + 34cc: 55 4a 07 fe clrlwi r10,r10,31 + 34d0: 55 4a 06 3e clrlwi r10,r10,24 + 34d4: 7d 4a 4a 14 add r10,r10,r9 + 34d8: 55 48 06 3e clrlwi r8,r10,24 + 34dc: 81 41 00 54 lwz r10,84(r1) + 34e0: 99 0a 00 00 stb r8,0(r10) + 34e4: 28 09 00 06 cmplwi r9,6 + 34e8: 41 81 00 30 bgt 3518 + 34ec: 81 21 00 54 lwz r9,84(r1) + 34f0: 81 49 00 08 lwz r10,8(r9) + 34f4: 81 21 00 54 lwz r9,84(r1) + 34f8: 89 29 00 00 lbz r9,0(r9) + 34fc: 39 09 00 02 addi r8,r9,2 + 3500: 55 07 06 3e clrlwi r7,r8,24 + 3504: 81 01 00 54 lwz r8,84(r1) + 3508: 98 e8 00 00 stb r7,0(r8) + 350c: 55 29 10 3a rlwinm r9,r9,2,0,29 + 3510: 7d 2a 4a 14 add r9,r10,r9 + 3514: 48 00 00 20 b 3534 + 3518: 81 21 00 54 lwz r9,84(r1) + 351c: 81 29 00 04 lwz r9,4(r9) + 3520: 39 29 00 07 addi r9,r9,7 + 3524: 55 29 00 38 rlwinm r9,r9,0,0,28 + 3528: 39 09 00 08 addi r8,r9,8 + 352c: 81 41 00 54 lwz r10,84(r1) + 3530: 91 0a 00 04 stw r8,4(r10) + 3534: 81 49 00 00 lwz r10,0(r9) + 3538: 81 69 00 04 lwz r11,4(r9) + 353c: 91 41 00 08 stw r10,8(r1) + 3540: 91 61 00 0c stw r11,12(r1) + 3544: 48 00 02 98 b 37dc + 3548: 81 21 00 30 lwz r9,48(r1) + 354c: 2c 09 00 6c cmpwi r9,108 + 3550: 40 82 00 88 bne 35d8 + 3554: 81 21 00 54 lwz r9,84(r1) + 3558: 89 29 00 00 lbz r9,0(r9) + 355c: 28 09 00 07 cmplwi r9,7 + 3560: 41 81 00 30 bgt 3590 + 3564: 81 21 00 54 lwz r9,84(r1) + 3568: 81 49 00 08 lwz r10,8(r9) + 356c: 81 21 00 54 lwz r9,84(r1) + 3570: 89 29 00 00 lbz r9,0(r9) + 3574: 39 09 00 01 addi r8,r9,1 + 3578: 55 07 06 3e clrlwi r7,r8,24 + 357c: 81 01 00 54 lwz r8,84(r1) + 3580: 98 e8 00 00 stb r7,0(r8) + 3584: 55 29 10 3a rlwinm r9,r9,2,0,29 + 3588: 7d 2a 4a 14 add r9,r10,r9 + 358c: 48 00 00 18 b 35a4 + 3590: 81 21 00 54 lwz r9,84(r1) + 3594: 81 29 00 04 lwz r9,4(r9) + 3598: 39 09 00 04 addi r8,r9,4 + 359c: 81 41 00 54 lwz r10,84(r1) + 35a0: 91 0a 00 04 stw r8,4(r10) + 35a4: 81 29 00 00 lwz r9,0(r9) + 35a8: 91 21 00 0c stw r9,12(r1) + 35ac: 39 20 00 00 li r9,0 + 35b0: 91 21 00 08 stw r9,8(r1) + 35b4: 81 21 00 24 lwz r9,36(r1) + 35b8: 55 29 07 bc rlwinm r9,r9,0,30,30 + 35bc: 2c 09 00 00 cmpwi r9,0 + 35c0: 41 82 02 1c beq 37dc + 35c4: 81 21 00 0c lwz r9,12(r1) + 35c8: 91 21 00 0c stw r9,12(r1) + 35cc: 7d 29 fe 70 srawi r9,r9,31 + 35d0: 91 21 00 08 stw r9,8(r1) + 35d4: 48 00 02 08 b 37dc + 35d8: 81 21 00 30 lwz r9,48(r1) + 35dc: 2c 09 00 5a cmpwi r9,90 + 35e0: 41 82 00 10 beq 35f0 + 35e4: 81 21 00 30 lwz r9,48(r1) + 35e8: 2c 09 00 7a cmpwi r9,122 + 35ec: 40 82 00 68 bne 3654 + 35f0: 81 21 00 54 lwz r9,84(r1) + 35f4: 89 29 00 00 lbz r9,0(r9) + 35f8: 28 09 00 07 cmplwi r9,7 + 35fc: 41 81 00 30 bgt 362c + 3600: 81 21 00 54 lwz r9,84(r1) + 3604: 81 49 00 08 lwz r10,8(r9) + 3608: 81 21 00 54 lwz r9,84(r1) + 360c: 89 29 00 00 lbz r9,0(r9) + 3610: 39 09 00 01 addi r8,r9,1 + 3614: 55 07 06 3e clrlwi r7,r8,24 + 3618: 81 01 00 54 lwz r8,84(r1) + 361c: 98 e8 00 00 stb r7,0(r8) + 3620: 55 29 10 3a rlwinm r9,r9,2,0,29 + 3624: 7d 2a 4a 14 add r9,r10,r9 + 3628: 48 00 00 18 b 3640 + 362c: 81 21 00 54 lwz r9,84(r1) + 3630: 81 29 00 04 lwz r9,4(r9) + 3634: 39 09 00 04 addi r8,r9,4 + 3638: 81 41 00 54 lwz r10,84(r1) + 363c: 91 0a 00 04 stw r8,4(r10) + 3640: 81 29 00 00 lwz r9,0(r9) + 3644: 91 21 00 0c stw r9,12(r1) + 3648: 39 20 00 00 li r9,0 + 364c: 91 21 00 08 stw r9,8(r1) + 3650: 48 00 01 8c b 37dc + 3654: 81 21 00 30 lwz r9,48(r1) + 3658: 2c 09 00 74 cmpwi r9,116 + 365c: 40 82 00 68 bne 36c4 + 3660: 81 21 00 54 lwz r9,84(r1) + 3664: 89 29 00 00 lbz r9,0(r9) + 3668: 28 09 00 07 cmplwi r9,7 + 366c: 41 81 00 30 bgt 369c + 3670: 81 21 00 54 lwz r9,84(r1) + 3674: 81 49 00 08 lwz r10,8(r9) + 3678: 81 21 00 54 lwz r9,84(r1) + 367c: 89 29 00 00 lbz r9,0(r9) + 3680: 39 09 00 01 addi r8,r9,1 + 3684: 55 07 06 3e clrlwi r7,r8,24 + 3688: 81 01 00 54 lwz r8,84(r1) + 368c: 98 e8 00 00 stb r7,0(r8) + 3690: 55 29 10 3a rlwinm r9,r9,2,0,29 + 3694: 7d 2a 4a 14 add r9,r10,r9 + 3698: 48 00 00 18 b 36b0 + 369c: 81 21 00 54 lwz r9,84(r1) + 36a0: 81 29 00 04 lwz r9,4(r9) + 36a4: 39 09 00 04 addi r8,r9,4 + 36a8: 81 41 00 54 lwz r10,84(r1) + 36ac: 91 0a 00 04 stw r8,4(r10) + 36b0: 81 29 00 00 lwz r9,0(r9) + 36b4: 91 21 00 0c stw r9,12(r1) + 36b8: 7d 29 fe 70 srawi r9,r9,31 + 36bc: 91 21 00 08 stw r9,8(r1) + 36c0: 48 00 01 1c b 37dc + 36c4: 81 21 00 30 lwz r9,48(r1) + 36c8: 2c 09 00 68 cmpwi r9,104 + 36cc: 40 82 00 90 bne 375c + 36d0: 81 21 00 54 lwz r9,84(r1) + 36d4: 89 29 00 00 lbz r9,0(r9) + 36d8: 28 09 00 07 cmplwi r9,7 + 36dc: 41 81 00 30 bgt 370c + 36e0: 81 21 00 54 lwz r9,84(r1) + 36e4: 81 49 00 08 lwz r10,8(r9) + 36e8: 81 21 00 54 lwz r9,84(r1) + 36ec: 89 29 00 00 lbz r9,0(r9) + 36f0: 39 09 00 01 addi r8,r9,1 + 36f4: 55 07 06 3e clrlwi r7,r8,24 + 36f8: 81 01 00 54 lwz r8,84(r1) + 36fc: 98 e8 00 00 stb r7,0(r8) + 3700: 55 29 10 3a rlwinm r9,r9,2,0,29 + 3704: 7d 2a 4a 14 add r9,r10,r9 + 3708: 48 00 00 18 b 3720 + 370c: 81 21 00 54 lwz r9,84(r1) + 3710: 81 29 00 04 lwz r9,4(r9) + 3714: 39 09 00 04 addi r8,r9,4 + 3718: 81 41 00 54 lwz r10,84(r1) + 371c: 91 0a 00 04 stw r8,4(r10) + 3720: 81 29 00 00 lwz r9,0(r9) + 3724: 55 29 04 3e clrlwi r9,r9,16 + 3728: 91 21 00 0c stw r9,12(r1) + 372c: 39 20 00 00 li r9,0 + 3730: 91 21 00 08 stw r9,8(r1) + 3734: 81 21 00 24 lwz r9,36(r1) + 3738: 55 29 07 bc rlwinm r9,r9,0,30,30 + 373c: 2c 09 00 00 cmpwi r9,0 + 3740: 41 82 00 9c beq 37dc + 3744: a1 21 00 0e lhz r9,14(r1) + 3748: 7d 29 07 34 extsh r9,r9 + 374c: 91 21 00 0c stw r9,12(r1) + 3750: 7d 29 fe 70 srawi r9,r9,31 + 3754: 91 21 00 08 stw r9,8(r1) + 3758: 48 00 00 84 b 37dc + 375c: 81 21 00 54 lwz r9,84(r1) + 3760: 89 29 00 00 lbz r9,0(r9) + 3764: 28 09 00 07 cmplwi r9,7 + 3768: 41 81 00 30 bgt 3798 + 376c: 81 21 00 54 lwz r9,84(r1) + 3770: 81 49 00 08 lwz r10,8(r9) + 3774: 81 21 00 54 lwz r9,84(r1) + 3778: 89 29 00 00 lbz r9,0(r9) + 377c: 39 09 00 01 addi r8,r9,1 + 3780: 55 07 06 3e clrlwi r7,r8,24 + 3784: 81 01 00 54 lwz r8,84(r1) + 3788: 98 e8 00 00 stb r7,0(r8) + 378c: 55 29 10 3a rlwinm r9,r9,2,0,29 + 3790: 7d 2a 4a 14 add r9,r10,r9 + 3794: 48 00 00 18 b 37ac + 3798: 81 21 00 54 lwz r9,84(r1) + 379c: 81 29 00 04 lwz r9,4(r9) + 37a0: 39 09 00 04 addi r8,r9,4 + 37a4: 81 41 00 54 lwz r10,84(r1) + 37a8: 91 0a 00 04 stw r8,4(r10) + 37ac: 81 29 00 00 lwz r9,0(r9) + 37b0: 91 21 00 0c stw r9,12(r1) + 37b4: 39 20 00 00 li r9,0 + 37b8: 91 21 00 08 stw r9,8(r1) + 37bc: 81 21 00 24 lwz r9,36(r1) + 37c0: 55 29 07 bc rlwinm r9,r9,0,30,30 + 37c4: 2c 09 00 00 cmpwi r9,0 + 37c8: 41 82 00 14 beq 37dc + 37cc: 81 21 00 0c lwz r9,12(r1) + 37d0: 91 21 00 0c stw r9,12(r1) + 37d4: 7d 29 fe 70 srawi r9,r9,31 + 37d8: 91 21 00 08 stw r9,8(r1) + 37dc: 81 41 00 0c lwz r10,12(r1) + 37e0: 81 21 00 24 lwz r9,36(r1) + 37e4: 81 01 00 2c lwz r8,44(r1) + 37e8: 80 e1 00 28 lwz r7,40(r1) + 37ec: 80 c1 00 14 lwz r6,20(r1) + 37f0: 7d 45 53 78 mr r5,r10 + 37f4: 80 81 00 1c lwz r4,28(r1) + 37f8: 80 61 00 18 lwz r3,24(r1) + 37fc: 4b ff ea 09 bl 2204 + 3800: 7c 69 1b 78 mr r9,r3 + 3804: 91 21 00 18 stw r9,24(r1) + 3808: 81 21 00 50 lwz r9,80(r1) + 380c: 39 29 00 01 addi r9,r9,1 + 3810: 91 21 00 50 stw r9,80(r1) + 3814: 81 21 00 50 lwz r9,80(r1) + 3818: 89 29 00 00 lbz r9,0(r9) + 381c: 2c 09 00 00 cmpwi r9,0 + 3820: 40 82 f3 58 bne 2b78 + 3824: 81 21 00 4c lwz r9,76(r1) + 3828: 2c 09 00 00 cmpwi r9,0 + 382c: 41 82 00 34 beq 3860 + 3830: 81 41 00 18 lwz r10,24(r1) + 3834: 81 21 00 1c lwz r9,28(r1) + 3838: 7c 0a 48 40 cmplw r10,r9 + 383c: 40 80 00 14 bge 3850 + 3840: 81 21 00 18 lwz r9,24(r1) + 3844: 39 40 00 00 li r10,0 + 3848: 99 49 00 00 stb r10,0(r9) + 384c: 48 00 00 14 b 3860 + 3850: 81 21 00 1c lwz r9,28(r1) + 3854: 39 29 ff ff addi r9,r9,-1 + 3858: 39 40 00 00 li r10,0 + 385c: 99 49 00 00 stb r10,0(r9) + 3860: 81 41 00 18 lwz r10,24(r1) + 3864: 81 21 00 48 lwz r9,72(r1) + 3868: 7d 29 50 50 subf r9,r9,r10 + 386c: 7d 23 4b 78 mr r3,r9 + 3870: 80 01 00 64 lwz r0,100(r1) + 3874: 7c 08 03 a6 mtlr r0 + 3878: 38 21 00 60 addi r1,r1,96 + 387c: 4e 80 00 20 blr + +00003880 : + 3880: 94 21 ff f0 stwu r1,-16(r1) + 3884: 90 61 00 08 stw r3,8(r1) + 3888: 3d 20 00 02 lis r9,2 + 388c: 81 41 00 08 lwz r10,8(r1) + 3890: 91 49 e0 18 stw r10,-8168(r9) + 3894: 60 00 00 00 nop + 3898: 38 21 00 10 addi r1,r1,16 + 389c: 4e 80 00 20 blr + +000038a0 : + 38a0: 94 21 ff f0 stwu r1,-16(r1) + 38a4: 90 61 00 08 stw r3,8(r1) + 38a8: 90 81 00 0c stw r4,12(r1) + 38ac: 3d 20 00 02 lis r9,2 + 38b0: 81 41 00 08 lwz r10,8(r1) + 38b4: 91 49 e0 1c stw r10,-8164(r9) + 38b8: 3d 20 00 02 lis r9,2 + 38bc: 81 41 00 0c lwz r10,12(r1) + 38c0: 91 49 e0 20 stw r10,-8160(r9) + 38c4: 60 00 00 00 nop + 38c8: 38 21 00 10 addi r1,r1,16 + 38cc: 4e 80 00 20 blr + +000038d0 : + 38d0: 94 21 ff f0 stwu r1,-16(r1) + 38d4: 7c 08 02 a6 mflr r0 + 38d8: 90 01 00 14 stw r0,20(r1) + 38dc: 90 61 00 08 stw r3,8(r1) + 38e0: 3d 20 00 02 lis r9,2 + 38e4: 81 29 e0 18 lwz r9,-8168(r9) + 38e8: 2c 09 00 00 cmpwi r9,0 + 38ec: 41 82 00 20 beq 390c + 38f0: 3d 20 00 02 lis r9,2 + 38f4: 81 29 e0 18 lwz r9,-8168(r9) + 38f8: 81 41 00 08 lwz r10,8(r1) + 38fc: 55 4a 06 3e clrlwi r10,r10,24 + 3900: 7d 43 53 78 mr r3,r10 + 3904: 7d 29 03 a6 mtctr r9 + 3908: 4e 80 04 21 bctrl + 390c: 81 21 00 08 lwz r9,8(r1) + 3910: 7d 23 4b 78 mr r3,r9 + 3914: 80 01 00 14 lwz r0,20(r1) + 3918: 7c 08 03 a6 mtlr r0 + 391c: 38 21 00 10 addi r1,r1,16 + 3920: 4e 80 00 20 blr + +00003924 : + 3924: 94 21 ff f0 stwu r1,-16(r1) + 3928: 7c 08 02 a6 mflr r0 + 392c: 90 01 00 14 stw r0,20(r1) + 3930: 3d 20 00 02 lis r9,2 + 3934: 81 29 e0 20 lwz r9,-8160(r9) + 3938: 2c 09 00 00 cmpwi r9,0 + 393c: 41 82 ff f4 beq 3930 + 3940: 3d 20 00 02 lis r9,2 + 3944: 81 29 e0 20 lwz r9,-8160(r9) + 3948: 7d 29 03 a6 mtctr r9 + 394c: 4e 80 04 21 bctrl + 3950: 7c 69 1b 78 mr r9,r3 + 3954: 2c 09 00 00 cmpwi r9,0 + 3958: 41 82 ff d8 beq 3930 + 395c: 3d 20 00 02 lis r9,2 + 3960: 81 29 e0 1c lwz r9,-8164(r9) + 3964: 7d 29 03 a6 mtctr r9 + 3968: 4e 80 04 21 bctrl + 396c: 7c 69 1b 78 mr r9,r3 + 3970: 7d 23 4b 78 mr r3,r9 + 3974: 80 01 00 14 lwz r0,20(r1) + 3978: 7c 08 03 a6 mtlr r0 + 397c: 38 21 00 10 addi r1,r1,16 + 3980: 4e 80 00 20 blr + +00003984 : + 3984: 94 21 ff f0 stwu r1,-16(r1) + 3988: 7c 08 02 a6 mflr r0 + 398c: 90 01 00 14 stw r0,20(r1) + 3990: 3d 20 00 02 lis r9,2 + 3994: 81 29 e0 20 lwz r9,-8160(r9) + 3998: 2c 09 00 00 cmpwi r9,0 + 399c: 41 82 00 28 beq 39c4 + 39a0: 3d 20 00 02 lis r9,2 + 39a4: 81 29 e0 20 lwz r9,-8160(r9) + 39a8: 7d 29 03 a6 mtctr r9 + 39ac: 4e 80 04 21 bctrl + 39b0: 7c 69 1b 78 mr r9,r3 + 39b4: 2c 09 00 00 cmpwi r9,0 + 39b8: 41 82 00 0c beq 39c4 + 39bc: 39 20 00 01 li r9,1 + 39c0: 48 00 00 08 b 39c8 + 39c4: 39 20 00 00 li r9,0 + 39c8: 7d 23 4b 78 mr r3,r9 + 39cc: 80 01 00 14 lwz r0,20(r1) + 39d0: 7c 08 03 a6 mtlr r0 + 39d4: 38 21 00 10 addi r1,r1,16 + 39d8: 4e 80 00 20 blr + +000039dc : + 39dc: 94 21 ff f0 stwu r1,-16(r1) + 39e0: 7c 08 02 a6 mflr r0 + 39e4: 90 01 00 14 stw r0,20(r1) + 39e8: 90 61 00 08 stw r3,8(r1) + 39ec: 80 61 00 08 lwz r3,8(r1) + 39f0: 48 00 00 25 bl 3a14 + 39f4: 38 60 00 0a li r3,10 + 39f8: 4b ff fe d9 bl 38d0 + 39fc: 39 20 00 01 li r9,1 + 3a00: 7d 23 4b 78 mr r3,r9 + 3a04: 80 01 00 14 lwz r0,20(r1) + 3a08: 7c 08 03 a6 mtlr r0 + 3a0c: 38 21 00 10 addi r1,r1,16 + 3a10: 4e 80 00 20 blr + +00003a14 : + 3a14: 94 21 ff f0 stwu r1,-16(r1) + 3a18: 7c 08 02 a6 mflr r0 + 3a1c: 90 01 00 14 stw r0,20(r1) + 3a20: 90 61 00 08 stw r3,8(r1) + 3a24: 48 00 00 20 b 3a44 + 3a28: 81 21 00 08 lwz r9,8(r1) + 3a2c: 89 29 00 00 lbz r9,0(r9) + 3a30: 7d 23 4b 78 mr r3,r9 + 3a34: 4b ff fe 9d bl 38d0 + 3a38: 81 21 00 08 lwz r9,8(r1) + 3a3c: 39 29 00 01 addi r9,r9,1 + 3a40: 91 21 00 08 stw r9,8(r1) + 3a44: 81 21 00 08 lwz r9,8(r1) + 3a48: 89 29 00 00 lbz r9,0(r9) + 3a4c: 2c 09 00 00 cmpwi r9,0 + 3a50: 40 82 ff d8 bne 3a28 + 3a54: 60 00 00 00 nop + 3a58: 60 00 00 00 nop + 3a5c: 80 01 00 14 lwz r0,20(r1) + 3a60: 7c 08 03 a6 mtlr r0 + 3a64: 38 21 00 10 addi r1,r1,16 + 3a68: 4e 80 00 20 blr + +00003a6c : + 3a6c: 94 21 fe e0 stwu r1,-288(r1) + 3a70: 7c 08 02 a6 mflr r0 + 3a74: 90 01 01 24 stw r0,292(r1) + 3a78: 90 61 01 18 stw r3,280(r1) + 3a7c: 90 81 01 1c stw r4,284(r1) + 3a80: 39 21 00 0c addi r9,r1,12 + 3a84: 80 c1 01 1c lwz r6,284(r1) + 3a88: 80 a1 01 18 lwz r5,280(r1) + 3a8c: 38 80 01 00 li r4,256 + 3a90: 7d 23 4b 78 mr r3,r9 + 3a94: 4b ff eb f9 bl 268c + 3a98: 7c 69 1b 78 mr r9,r3 + 3a9c: 91 21 00 08 stw r9,8(r1) + 3aa0: 39 41 00 0c addi r10,r1,12 + 3aa4: 81 21 00 08 lwz r9,8(r1) + 3aa8: 7d 2a 4a 14 add r9,r10,r9 + 3aac: 39 40 00 00 li r10,0 + 3ab0: 99 49 00 00 stb r10,0(r9) + 3ab4: 39 21 00 0c addi r9,r1,12 + 3ab8: 7d 23 4b 78 mr r3,r9 + 3abc: 4b ff ff 59 bl 3a14 + 3ac0: 81 21 00 08 lwz r9,8(r1) + 3ac4: 7d 23 4b 78 mr r3,r9 + 3ac8: 80 01 01 24 lwz r0,292(r1) + 3acc: 7c 08 03 a6 mtlr r0 + 3ad0: 38 21 01 20 addi r1,r1,288 + 3ad4: 4e 80 00 20 blr + +00003ad8 : + 3ad8: 94 21 ff 80 stwu r1,-128(r1) + 3adc: 7c 08 02 a6 mflr r0 + 3ae0: 90 01 00 84 stw r0,132(r1) + 3ae4: 90 61 00 18 stw r3,24(r1) + 3ae8: 90 81 00 24 stw r4,36(r1) + 3aec: 90 a1 00 28 stw r5,40(r1) + 3af0: 90 c1 00 2c stw r6,44(r1) + 3af4: 90 e1 00 30 stw r7,48(r1) + 3af8: 91 01 00 34 stw r8,52(r1) + 3afc: 91 21 00 38 stw r9,56(r1) + 3b00: 91 41 00 3c stw r10,60(r1) + 3b04: 40 86 00 24 bne cr1,3b28 + 3b08: d8 21 00 40 stfd f1,64(r1) + 3b0c: d8 41 00 48 stfd f2,72(r1) + 3b10: d8 61 00 50 stfd f3,80(r1) + 3b14: d8 81 00 58 stfd f4,88(r1) + 3b18: d8 a1 00 60 stfd f5,96(r1) + 3b1c: d8 c1 00 68 stfd f6,104(r1) + 3b20: d8 e1 00 70 stfd f7,112(r1) + 3b24: d9 01 00 78 stfd f8,120(r1) + 3b28: 39 20 00 01 li r9,1 + 3b2c: 99 21 00 0c stb r9,12(r1) + 3b30: 39 20 00 00 li r9,0 + 3b34: 99 21 00 0d stb r9,13(r1) + 3b38: 39 21 00 88 addi r9,r1,136 + 3b3c: 91 21 00 10 stw r9,16(r1) + 3b40: 39 21 00 20 addi r9,r1,32 + 3b44: 91 21 00 14 stw r9,20(r1) + 3b48: 39 21 00 0c addi r9,r1,12 + 3b4c: 7d 24 4b 78 mr r4,r9 + 3b50: 80 61 00 18 lwz r3,24(r1) + 3b54: 4b ff ff 19 bl 3a6c + 3b58: 7c 69 1b 78 mr r9,r3 + 3b5c: 91 21 00 08 stw r9,8(r1) + 3b60: 81 21 00 08 lwz r9,8(r1) + 3b64: 7d 23 4b 78 mr r3,r9 + 3b68: 80 01 00 84 lwz r0,132(r1) + 3b6c: 7c 08 03 a6 mtlr r0 + 3b70: 38 21 00 80 addi r1,r1,128 + 3b74: 4e 80 00 20 blr diff --git a/dev/src/test3/rom.init b/dev/src/test3/rom.init index cc3513e..0570bee 100644 --- a/dev/src/test3/rom.init +++ b/dev/src/test3/rom.init @@ -1,12 +1,12 @@ 48000400 -48000F30 +44000002 00000000 00000000 00000000 00000000 00000000 00000000 -48000CDC +4800104C 00000000 00000000 00000000 @@ -70,7 +70,7 @@ 00000000 00000000 00000000 -48000BDC +48001194 00000000 00000000 00000000 @@ -310,17 +310,17 @@ 614AB000 7D400124 4C00012C -80200904 +802008FA 48000020 39400000 654A8002 614AB000 7D400124 4C00012C -80200908 +802008FE 48000004 3C600000 -60630920 +60630C34 7C6903A6 7C7E6AA6 4E800421 @@ -506,8 +506,8 @@ 00000000 00000000 00000000 -00000000 -00000000 +48000000 +48000000 00000000 48000000 48000000 @@ -570,33 +570,232 @@ 00000000 00000000 00000000 -43520000 -58455200 -43545200 -4C520000 -54415200 -0001FFF8 -0000FFF8 +6D61696E +28256929 +0A000001 +FFF80000 +FFF80000 +08080808 +08080808 +08282828 +28280808 +08080808 +08080808 +08080808 +08080808 +A0101010 +10101010 +10101010 +10101010 +04040404 +04040404 +04041010 +10101010 +10414141 +41414101 +01010101 +01010101 +01010101 +01010101 +01010110 +10101010 +10424242 +42424202 +02020202 +02020202 +02020202 +02020202 +02020210 +10101008 00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +A0101010 +10101010 +10101010 +10101010 +10101010 +10101010 +10101010 +10101010 +01010101 +01010101 +01010101 +01010101 +01010101 +01010110 +01010101 +01010102 +02020202 +02020202 +02020202 +02020202 +02020202 +02020210 +02020202 +02020202 +41626F72 +7465642E +00000000 +30313233 +34353637 +38394142 +43444546 +4748494A +4B4C4D4E +4F505152 +53545556 +5758595A +00000000 +30313233 +34353637 +38396162 +63646566 +6768696A +6B6C6D6E +6F707172 +73747576 +7778797A +00000000 +3C4E554C +4C3E0000 +000021C0 +000021F0 +000021F0 +000021D0 +000021F0 +000021F0 +000021F0 +000021F0 +000021F0 +000021F0 +000021F0 +000021B0 +000021F0 +000021A0 +000021F0 +000021F0 +000021E0 +00002928 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002960 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002988 +00002464 +00002978 +00002988 +00002988 +00002988 +00002988 +00002978 +00002988 +00002988 +00002988 +00002988 +000027AC +00002954 +00002704 +00002988 +00002988 +00002580 +00002988 +00002A00 +00002988 +00002988 +0000296C +00000000 +9421FFF0 +7C691B78 +99210008 +3D200001 +81292000 +39090001 +3D400001 +910A2000 +89410008 +99490000 60000000 -60000000 -60000000 -60000000 +38210010 +4E800020 9421FFC0 +7C0802A6 +90010044 90610038 3D200001 -81290000 +39292000 9121000C 3D200000 -39290D20 +392910A0 91210010 81210038 2C090000 4182000C 3920FFFF -48000188 +480001CC 3D200000 -81290D00 +39291070 91210008 48000028 8121000C @@ -608,27 +807,44 @@ 81210008 39290004 91210008 -3D200000 -81290D00 81410008 +3D200000 +39291078 7C0A4840 4180FFCC -3D200001 -81290000 +3D200002 +3929E008 91210008 -48000020 -3D200001 -81290000 +48000024 +81210008 +39490004 +91410008 39400000 91490000 81210008 39290004 91210008 -3D200001 -81290000 81410008 +3D200002 +3929E028 7C0A4840 -4180FFD4 +4180FFD0 +3D200000 +38690C00 +48002B7D +38600077 +48002BC5 +38600074 +48002BBD +38600066 +48002BB5 +3860000A +48002BAD +80810038 +3D200000 +386908F0 +4CC63182 +48002DA1 3D200300 91210034 81210034 @@ -690,9 +906,11 @@ 60000000 81210010 7D234B78 -48000370 +48000390 48000000 7D234B78 +80010044 +7C0803A6 38210040 4E800020 9421FFE0 @@ -700,136 +918,142 @@ 90010024 90610018 39200001 -91210008 -39200000 9121000C 81410018 3D200867 61295309 7C0A4800 4182000C +3C608000 +4BFFF979 39200000 91210008 +4800008C 81210008 -2C090000 -41820028 +552A103A 3D200000 -388908F0 -38600020 -48000115 -7C691B78 -2C090000 -4182000C -39200001 -48000008 -39200000 -91210008 +392913A0 +7D2A4A14 +81290000 +91210010 81210008 -2C090000 -41820028 +552A103A 3D200000 -388908F4 -38600021 -480000DD -7C691B78 +39291440 +7D2A4A14 +81290000 +91210014 +8121000C 2C090000 -4182000C +4182001C +81410010 +81210014 +7C0A4800 +4082000C 39200001 48000008 39200000 +9121000C +8121000C +2C090000 +40820010 +81210008 +7D234B78 +4BFFF8F1 +81210008 +39290001 91210008 81210008 -2C090000 -41820028 -3D200000 -388908F8 -38600022 -480000A5 -7C691B78 -2C090000 -4182000C -39200001 -48000008 -39200000 +2809001F +4081FF70 +39200021 91210008 81210008 -2C090000 -41820028 +552A103A 3D200000 -388908FC -38600023 -4800006D -7C691B78 -2C090000 -4182000C -39200001 -48000008 -39200000 -91210008 +392913A0 +7D2A4A14 +81290000 +91210010 81210008 -2C090000 -41820028 +552A103A 3D200000 -38890900 -38600024 -48000035 -7C691B78 +39291440 +7D2A4A14 +81290000 +55290644 +91210014 +8121000C 2C090000 -4182000C +4182001C +81410010 +81210014 +7C0A4800 +4082000C 39200001 48000008 39200000 -91210008 -60000000 -80010024 -7C0803A6 -38210020 -4E800020 -9421FFE0 -90610018 -9081001C -39200001 -91210008 -81210018 -552A103A -3D200000 -39290D20 -7D2A4A14 -81290000 9121000C -81210018 +8121000C +2C090000 +40820010 +81210008 +7D234B78 +4BFFF851 +39200022 +91210008 +4800008C +81210008 552A103A 3D200000 -39291020 +392913A0 7D2A4A14 81290000 91210010 -81210018 +81210008 552A103A 3D200000 -392910C0 +39291440 7D2A4A14 81290000 91210014 +8121000C +2C090000 +4182001C 81410010 81210014 -7D494A78 -3149FFFF -7D2A4910 -5529063E -91210008 +7C0A4800 +4082000C +39200001 +48000008 +39200000 +9121000C +8121000C +2C090000 +40820010 81210008 7D234B78 -38210020 -4E800020 +4BFFF7C9 +81210008 +39290001 +91210008 +81210008 +28090023 +4081FF70 +4BFFF7A9 +48000000 9421FFF0 90610008 9081000C -48000248 +48000258 60000000 38210010 4E800020 48000000 +0001C000 +00000000 +00000000 +00000000 696E666F 20746578 74006865 @@ -924,9 +1148,9 @@ FFFFFFFF 3C804800 60840006 90850000 -80200DB4 +80201134 7C3B03A6 -80200DF8 +80201178 7C3A03A6 80230080 7C2FF120 @@ -970,13 +1194,13 @@ FFFFFFFF 83C30078 83E3007C 8063000C -48010002 +4C000064 4800000C 60000000 60000000 7C2FCBA6 3C200000 -60211020 +602113A0 90010000 90410008 9061000C @@ -1021,11 +1245,11 @@ FFFFFFFF 7C4FCAA6 90410090 3C600000 -60630D20 +606310A0 8023009C 3C600867 60635309 -4BFFFAD8 +48000E4F 60000000 60000000 60000000 @@ -1109,3 +1333,2474 @@ FFFFFFFF FFFFFFFF 00001104 00010038 +9421FFF0 +7C691B78 +99210008 +89210008 +3D400000 +394A0904 +7D2A48AE +552907BC +2C090000 +41820010 +89210008 +3929FFE0 +99210008 +89210008 +7D234B78 +38210010 +4E800020 +9421FFF0 +7C691B78 +B1210008 +A1210008 +5529402E +7D2A0734 +A1210008 +5529C23E +5529043E +7D290734 +7D494B78 +7D290734 +5529043E +7D234B78 +38210010 +4E800020 +9421FFF0 +90610008 +81210008 +552A463E +81210008 +5529C23E +5529042E +7D4A4B78 +81210008 +5529402E +5529021E +7D4A4B78 +81210008 +5529C00E +7D494B78 +7D234B78 +38210010 +4E800020 +9421FFF0 +90610008 +9081000C +48000028 +81210008 +89290000 +2C090000 +4082000C +39200000 +4800002C +81210008 +39290001 +91210008 +81210008 +89490000 +8121000C +5529063E +7C0A4840 +4082FFC8 +81210008 +7D234B78 +38210010 +4E800020 +9421FFE0 +90610018 +9081001C +81210018 +91210008 +48000058 +8121001C +9121000C +48000030 +81210008 +89490000 +8121000C +89290000 +7C0A4840 +4082000C +81210008 +48000040 +8121000C +39290001 +9121000C +8121000C +89290000 +2C090000 +4082FFC8 +81210008 +39290001 +91210008 +81210008 +89290000 +2C090000 +4082FFA0 +39200000 +7D234B78 +38210020 +4E800020 +9421FFE0 +7C0802A6 +90010024 +90610018 +9081001C +80610018 +480003DD +7C6A1B78 +81210018 +7D295214 +91210008 +81210008 +89490000 +8121001C +5529063E +7C0A4840 +4082000C +81210008 +48000024 +81210008 +3929FFFF +91210008 +81410008 +81210018 +7C0A4840 +4080FFC8 +39200000 +7D234B78 +80010024 +7C0803A6 +38210020 +4E800020 +9421FFE0 +90610008 +9081000C +90A10010 +48000030 +81210008 +89490000 +81210010 +5529063E +7C0A4840 +4082000C +81210008 +48000038 +81210008 +39290001 +91210008 +8121000C +3949FFFF +9141000C +2C090000 +41820014 +81210008 +89290000 +2C090000 +4082FFB4 +39200000 +7D234B78 +38210020 +4E800020 +9421FFE0 +90610018 +9081001C +81210018 +91210008 +60000000 +8141001C +392A0001 +9121001C +81210018 +39090001 +91010018 +894A0000 +99490000 +89290000 +2C090000 +4082FFD8 +81210008 +7D234B78 +38210020 +4E800020 +9421FFD0 +90610018 +9081001C +90A10020 +81210018 +91210008 +48000048 +8121001C +89490000 +81210008 +99490000 +81210008 +89290000 +2C090000 +41820010 +8121001C +39290001 +9121001C +81210008 +39290001 +91210008 +81210020 +3929FFFF +91210020 +81210020 +2C090000 +4082FFB4 +81210018 +7D234B78 +38210030 +4E800020 +9421FFE0 +90610018 +9081001C +81210018 +89490000 +8121001C +39090001 +9101001C +89290000 +7D295050 +5529063E +99210008 +89210008 +7D290774 +2C090000 +40820020 +81210018 +39490001 +91410018 +89290000 +2C090000 +41820008 +4BFFFFB4 +89210008 +7D290774 +7D234B78 +38210020 +4E800020 +9421FFD0 +90610018 +9081001C +90A10020 +39200000 +9121000C +39200000 +99210008 +4800005C +81210018 +89490000 +8121001C +39090001 +9101001C +89290000 +7D295050 +5529063E +99210008 +89210008 +7D290774 +2C090000 +40820038 +81210018 +39490001 +91410018 +89290000 +2C090000 +41820020 +8121000C +39290001 +9121000C +8141000C +81210020 +7C0A4840 +4180FF9C +89210008 +7D290774 +7D234B78 +38210030 +4E800020 +9421FFE0 +90610018 +9081001C +81210018 +91210008 +48000010 +81210018 +39290001 +91210018 +81210018 +89290000 +2C090000 +4082FFE8 +60000000 +8141001C +392A0001 +9121001C +81210018 +39090001 +91010018 +894A0000 +99490000 +89290000 +2C090000 +4082FFD8 +81210008 +7D234B78 +38210020 +4E800020 +9421FFD0 +90610018 +9081001C +90A10020 +81210018 +91210008 +81210020 +2C090000 +4182007C +48000010 +81210018 +39290001 +91210018 +81210018 +89290000 +2C090000 +4082FFE8 +4800002C +81210020 +3929FFFF +91210020 +81210020 +2C090000 +40820014 +81210018 +39400000 +99490000 +48000030 +8141001C +392A0001 +9121001C +81210018 +39090001 +91010018 +894A0000 +99490000 +89290000 +2C090000 +4082FFB0 +81210008 +7D234B78 +38210030 +4E800020 +9421FFE0 +90610018 +81210018 +91210008 +48000010 +81210008 +39290001 +91210008 +81210008 +89290000 +2C090000 +4082FFE8 +81410008 +81210018 +7D295050 +7D234B78 +38210020 +4E800020 +9421FFE0 +90610018 +9081001C +81210018 +91210008 +48000010 +81210008 +39290001 +91210008 +8121001C +3949FFFF +9141001C +2C090000 +41820014 +81210008 +89290000 +2C090000 +4082FFD4 +81410008 +81210018 +7D295050 +7D234B78 +38210020 +4E800020 +9421FFE0 +90610018 +9081001C +39200000 +91210010 +81210018 +91210008 +4800007C +8121001C +9121000C +48000028 +81210008 +89490000 +8121000C +89290000 +7C0A4840 +41820024 +8121000C +39290001 +9121000C +8121000C +89290000 +2C090000 +4082FFD0 +48000008 +60000000 +8121000C +89290000 +2C090000 +4082000C +81210010 +48000030 +81210010 +39290001 +91210010 +81210008 +39290001 +91210008 +81210008 +89290000 +2C090000 +4082FF7C +81210010 +7D234B78 +38210020 +4E800020 +9421FFD0 +90610018 +9081001C +90A10020 +39200000 +91210010 +81210018 +91210008 +8121001C +9121000C +48000050 +81210008 +89290000 +7D2A4B78 +8121000C +89290000 +7D295050 +91210010 +81210010 +2C090000 +40820038 +81210008 +39290001 +91210008 +8121000C +39290001 +9121000C +81210020 +3929FFFF +91210020 +81210020 +2C090000 +4082FFAC +48000008 +60000000 +81210010 +7D234B78 +38210030 +4E800020 +9421FFD0 +90610018 +9081001C +90A10020 +81210018 +91210008 +4800001C +81210008 +39490001 +91410008 +8141001C +554A063E +99490000 +81210020 +3949FFFF +91410020 +2C090000 +4082FFD8 +81210018 +7D234B78 +38210030 +4E800020 +9421FFD0 +90610018 +9081001C +90A10020 +81210018 +91210008 +8121001C +9121000C +48000024 +8141000C +392A0001 +9121000C +81210008 +39090001 +91010008 +894A0000 +99490000 +81210020 +3949FFFF +91410020 +2C090000 +4082FFD0 +81210018 +7D234B78 +38210030 +4E800020 +9421FFD0 +90610018 +9081001C +90A10020 +81410018 +8121001C +7C0A4840 +41810050 +81210018 +91210008 +8121001C +9121000C +48000024 +8141000C +392A0001 +9121000C +81210008 +39090001 +91010008 +894A0000 +99490000 +81210020 +3949FFFF +91410020 +2C090000 +4082FFD0 +48000064 +81410018 +81210020 +7D2A4A14 +91210008 +8141001C +81210020 +7D2A4A14 +9121000C +4800002C +8121000C +3929FFFF +9121000C +81210008 +3929FFFF +91210008 +8121000C +89490000 +81210008 +99490000 +81210020 +3949FFFF +91410020 +2C090000 +4082FFC8 +81210018 +7D234B78 +38210030 +4E800020 +9421FFE0 +7C0802A6 +90010024 +90610018 +9081001C +8061001C +4BFFFC51 +7C691B78 +9121000C +8121000C +2C090000 +4082000C +81210018 +48000068 +80610018 +4BFFFC2D +7C691B78 +91210008 +48000040 +81210008 +3929FFFF +91210008 +80A1000C +8081001C +80610018 +4BFFFD65 +7C691B78 +2C090000 +4082000C +81210018 +48000024 +81210018 +39290001 +91210018 +81410008 +8121000C +7C0A4840 +4080FFB8 +39200000 +7D234B78 +80010024 +7C0803A6 +38210020 +4E800020 +9421FFD0 +90610018 +9081001C +90A10020 +81210018 +91210008 +48000030 +8121001C +552A063E +81210008 +39090001 +91010008 +89290000 +7C0A4840 +40820010 +81210008 +3929FFFF +4800001C +81210020 +3949FFFF +91410020 +2C090000 +4082FFC4 +39200000 +7D234B78 +38210030 +4E800020 +9421FFD0 +7C0802A6 +90010034 +90610018 +9081001C +90A10020 +39200000 +91210008 +81210020 +2C090000 +4082008C +3920000A +91210020 +81210018 +89290000 +28090030 +408200E4 +39200008 +91210020 +81210018 +39290001 +91210018 +81210018 +89290000 +7D234B78 +4BFFF541 +7C691B78 +28090058 +408200B4 +81210018 +39290001 +89290000 +7D2A4B78 +3D200000 +39290904 +7D2950AE +71290044 +2C090000 +4182008C +81210018 +39290001 +91210018 +39200010 +91210020 +48000074 +81210020 +2C090010 +40820068 +81210018 +89290000 +28090030 +40820058 +81210018 +39290001 +89290000 +7D234B78 +4BFFF4C5 +7C691B78 +28090058 +40820038 +81210018 +39290002 +91210018 +48000028 +81410008 +81210020 +7D2A49D6 +8141000C +7D2A4A14 +91210008 +81210018 +39290001 +91210018 +81210018 +89290000 +7D2A4B78 +3D200000 +39290904 +7D2950AE +71290044 +2C090000 +41820064 +81210018 +89290000 +7D2A4B78 +3D200000 +39290904 +7D2950AE +5529077A +2C090000 +41820014 +81210018 +89290000 +3929FFD0 +4800001C +81210018 +89290000 +7D234B78 +4BFFF41D +7C691B78 +3929FFC9 +9121000C +8141000C +81210020 +7C0A4840 +4180FF5C +8121001C +2C090000 +41820010 +8121001C +81410018 +91490000 +81210008 +7D234B78 +80010034 +7C0803A6 +38210030 +4E800020 +9421FFE0 +7C0802A6 +90010024 +90610008 +9081000C +90A10010 +81210008 +89290000 +2809002D +4082002C +81210008 +39290001 +81410010 +7D455378 +8081000C +7D234B78 +4BFFFDE9 +7C691B78 +7D2900D0 +4800001C +81210010 +7D254B78 +8081000C +80610008 +4BFFFDC9 +7C691B78 +7D234B78 +80010024 +7C0803A6 +38210020 +4E800020 +9421FFE0 +90610018 +39200000 +91210008 +48000030 +81210008 +1D49000A +81210018 +81290000 +38E90001 +81010018 +90E80000 +89290000 +7D2A4A14 +3929FFD0 +91210008 +81210018 +81290000 +89290000 +7D2A4B78 +3D200000 +39290904 +7D2950AE +5529077A +2C090000 +4082FFB0 +81210008 +7D234B78 +38210020 +4E800020 +9421FF70 +90610068 +9081006C +90A10070 +90C10074 +90E10078 +9101007C +91210080 +81210080 +55290672 +2C090000 +41820010 +3D200000 +39290A10 +4800000C +3D200000 +39290A38 +91210010 +81210080 +552906F6 +2C090000 +41820010 +81210080 +5529003C +91210080 +81210074 +2C090001 +40810010 +81210074 +2C090024 +4081000C +39200000 +480003FC +81210080 +552907FE +2C090000 +4182000C +39200030 +48000008 +39200020 +99210014 +39200000 +99210008 +81210080 +552907BC +2C090000 +41820080 +81210070 +2C090000 +40800028 +3920002D +99210008 +81210070 +7D2900D0 +91210070 +81210078 +3929FFFF +91210078 +48000050 +81210080 +5529077A +2C090000 +4182001C +3920002B +99210008 +81210078 +3929FFFF +91210078 +48000028 +81210080 +55290738 +2C090000 +41820018 +39200020 +99210008 +81210078 +3929FFFF +91210078 +81210080 +552906B4 +2C090000 +41820038 +81210074 +2C090010 +40820014 +81210078 +3929FFFE +91210078 +4800001C +81210074 +2C090008 +40820010 +81210078 +3929FFFF +91210078 +39200000 +9121000C +81210070 +2C090000 +4082006C +8121000C +39490001 +9141000C +39410008 +7D2A4A14 +39400030 +99490010 +48000058 +81410074 +81210070 +7D095396 +7D4851D6 +7D2A4850 +81410010 +7D4A4A14 +8121000C +39090001 +9101000C +894A0000 +39010008 +7D284A14 +99490010 +81210074 +81410070 +7D2A4B96 +91210070 +81210070 +2C090000 +4082FFB0 +8141000C +8121007C +7C0A4800 +4081000C +8121000C +9121007C +81410078 +8121007C +7D295050 +91210078 +81210080 +71290011 +2C090000 +40820044 +4800002C +81410068 +8121006C +7C0A4840 +40800010 +81210068 +39400020 +99490000 +81210068 +39290001 +91210068 +81210078 +3949FFFF +91410078 +2C090000 +4181FFC8 +89210008 +2C090000 +4182002C +81410068 +8121006C +7C0A4840 +40800010 +81210068 +89410008 +99490000 +81210068 +39290001 +91210068 +81210080 +552906B4 +2C090000 +4182009C +81210074 +2C090008 +40820030 +81410068 +8121006C +7C0A4840 +40800010 +81210068 +39400030 +99490000 +81210068 +39290001 +91210068 +48000064 +81210074 +2C090010 +40820058 +81410068 +8121006C +7C0A4840 +40800010 +81210068 +39400030 +99490000 +81210068 +39290001 +91210068 +81410068 +8121006C +7C0A4840 +40800014 +81210010 +89490021 +81210068 +99490000 +81210068 +39290001 +91210068 +81210080 +552906F6 +2C090000 +40820070 +4800002C +81410068 +8121006C +7C0A4840 +40800010 +81210068 +89410014 +99490000 +81210068 +39290001 +91210068 +81210078 +3949FFFF +91410078 +2C090000 +4181FFC8 +4800002C +81410068 +8121006C +7C0A4840 +40800010 +81210068 +39400030 +99490000 +81210068 +39290001 +91210068 +8121007C +3949FFFF +9141007C +8141000C +7C0A4800 +4180FFC4 +48000038 +81410068 +8121006C +7C0A4840 +4080001C +39410018 +8121000C +7D2A4A14 +89490000 +81210068 +99490000 +81210068 +39290001 +91210068 +8121000C +3949FFFF +9141000C +2C090000 +4181FFBC +4800002C +81410068 +8121006C +7C0A4840 +40800010 +81210068 +39400020 +99490000 +81210068 +39290001 +91210068 +81210078 +3949FFFF +91410078 +2C090000 +4181FFC8 +81210068 +7D234B78 +38210090 +4E800020 +9421FFD0 +7C0802A6 +90010034 +90610018 +9081001C +90A10020 +90C10024 +80C10024 +80A10020 +8081001C +80610018 +4800044D +7C691B78 +91210008 +81410008 +8121001C +7C0A4840 +41800010 +8121001C +3929FFFF +48000008 +81210008 +7D234B78 +80010034 +7C0803A6 +38210030 +4E800020 +9421FF80 +7C0802A6 +90010084 +90610018 +9081001C +90A10020 +90C1002C +90E10030 +91010034 +91210038 +9141003C +40860024 +D8210040 +D8410048 +D8610050 +D8810058 +D8A10060 +D8C10068 +D8E10070 +D9010078 +39200003 +9921000C +39200000 +9921000D +39210088 +91210010 +39210020 +91210014 +3921000C +7D264B78 +80A10020 +8081001C +80610018 +48000389 +7C691B78 +91210008 +81210008 +7D234B78 +80010084 +7C0803A6 +38210080 +4E800020 +9421FF80 +7C0802A6 +90010084 +90610018 +9081001C +90A10020 +90C1002C +90E10030 +91010034 +91210038 +9141003C +40860024 +D8210040 +D8410048 +D8610050 +D8810058 +D8A10060 +D8C10068 +D8E10070 +D9010078 +39200003 +9921000C +39200000 +9921000D +39210088 +91210010 +39210020 +91210014 +3921000C +7D264B78 +80A10020 +8081001C +80610018 +480002E1 +7C691B78 +91210008 +81410008 +8121001C +7C0A4840 +41800010 +8121001C +3929FFFF +48000008 +81210008 +7D234B78 +80010084 +7C0803A6 +38210080 +4E800020 +9421FFE0 +7C0802A6 +90010024 +90610008 +9081000C +90A10010 +80C10010 +80A1000C +3D207FFF +6124FFFF +80610008 +48000275 +7C691B78 +7D234B78 +80010024 +7C0803A6 +38210020 +4E800020 +9421FF80 +7C0802A6 +90010084 +90610018 +9081001C +90A10020 +90C10024 +90E10028 +9101002C +91210030 +91410034 +40860024 +D8210038 +D8410040 +D8610048 +D8810050 +D8A10058 +D8C10060 +D8E10068 +D9010070 +39200002 +9921000C +39200000 +9921000D +39210088 +91210010 +39210018 +91210014 +3921000C +7D264B78 +80A1001C +3D207FFF +6124FFFF +80610018 +480001D1 +7C691B78 +91210008 +81210008 +7D234B78 +80010084 +7C0803A6 +38210080 +4E800020 +3D200002 +8129E014 +1D290081 +3D293619 +394962E9 +3D200002 +9149E014 +3D200002 +8129E014 +7D234B78 +4E800020 +9421FFF0 +90610008 +3D200002 +81410008 +9149E014 +60000000 +38210010 +4E800020 +9421FFF0 +7C0802A6 +90010014 +3D200000 +38690A04 +4CC63182 +4800111D +48000000 +9421FFE0 +7C0802A6 +90010024 +90610018 +39200001 +91210008 +89210008 +2C090000 +41820014 +80610018 +4BFFEB75 +7C691B78 +48000008 +81210018 +7D234B78 +80010024 +7C0803A6 +38210020 +4E800020 +9421FFE0 +7C0802A6 +90010024 +7C691B78 +B1210018 +39200001 +91210008 +89210008 +2C090000 +41820018 +A1210018 +7D234B78 +4BFFEAE1 +7C691B78 +48000008 +A1210018 +7D234B78 +80010024 +7C0803A6 +38210020 +4E800020 +9421FFE0 +7C0802A6 +90010024 +90610018 +39200001 +91210008 +89210008 +2C090000 +41820014 +80610018 +4BFFEAD5 +7C691B78 +48000008 +81210018 +7D234B78 +80010024 +7C0803A6 +38210020 +4E800020 +9421FFE0 +7C0802A6 +90010024 +7C691B78 +B1210018 +39200001 +91210008 +89210008 +2C090000 +41820018 +A1210018 +7D234B78 +4BFFEA41 +7C691B78 +48000008 +A1210018 +7D234B78 +80010024 +7C0803A6 +38210020 +4E800020 +9421FFA0 +7C0802A6 +90010064 +90610048 +9081004C +90A10050 +90C10054 +8121004C +2C090000 +4080000C +39200000 +48000D3C +81210048 +91210018 +81410048 +8121004C +7D2A4A14 +9121001C +8141001C +81210048 +7C0A4840 +40800CBC +3920FFFF +9121001C +8141001C +81210048 +7D295050 +9121004C +48000CA0 +81210050 +89290000 +28090025 +41820034 +81410018 +8121001C +7C0A4840 +40800014 +81210050 +89490000 +81210018 +99490000 +81210018 +39290001 +91210018 +48000C54 +39200000 +91210024 +81210050 +39290001 +91210050 +81210050 +89290000 +3929FFE0 +28090010 +4181007C +552A103A +3D200000 +39290A68 +7D2A4A14 +81490000 +3D200000 +39290A68 +7D2A4A14 +7D2903A6 +4E800420 +81210024 +61290010 +91210024 +4BFFFFAC +81210024 +61290004 +91210024 +4BFFFF9C +81210024 +61290008 +91210024 +4BFFFF8C +81210024 +61290020 +91210024 +4BFFFF7C +81210024 +61290001 +91210024 +4BFFFF6C +3920FFFF +91210028 +81210050 +89290000 +7D2A4B78 +3D200000 +39290904 +7D2950AE +5529077A +2C090000 +4182001C +39210050 +7D234B78 +4BFFF501 +7C691B78 +91210028 +4800009C +81210050 +89290000 +2809002A +4082008C +81210050 +39290001 +91210050 +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +91210028 +81210028 +2C090000 +4080001C +81210028 +7D2900D0 +91210028 +81210024 +61290010 +91210024 +3920FFFF +9121002C +81210050 +89290000 +2809002E +408200D4 +81210050 +39290001 +91210050 +81210050 +89290000 +7D2A4B78 +3D200000 +39290904 +7D2950AE +5529077A +2C090000 +4182001C +39210050 +7D234B78 +4BFFF409 +7C691B78 +9121002C +48000078 +81210050 +89290000 +2809002A +40820068 +81210050 +39290001 +91210050 +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +9121002C +8121002C +2C090000 +4080000C +39200000 +9121002C +3920FFFF +91210030 +81210050 +89290000 +28090068 +41820054 +81210050 +89290000 +2809006C +41820044 +81210050 +89290000 +2809004C +41820034 +81210050 +89290000 +2809005A +41820024 +81210050 +89290000 +2809007A +41820014 +81210050 +89290000 +28090074 +4082004C +81210050 +89290000 +91210030 +81210050 +39290001 +91210050 +81210030 +2C09006C +40820028 +81210050 +89290000 +2809006C +40820018 +3920004C +91210030 +81210050 +39290001 +91210050 +3920000A +91210014 +81210050 +89290000 +3929FFDB +28090053 +41810550 +552A103A +3D200000 +39290AAC +7D2A4A14 +81490000 +3D200000 +39290AAC +7D2A4A14 +7D2903A6 +4E800420 +81210024 +552906F6 +2C090000 +40820048 +4800002C +81410018 +8121001C +7C0A4840 +40800010 +81210018 +39400020 +99490000 +81210018 +39290001 +91210018 +81210028 +3929FFFF +91210028 +81210028 +2C090000 +4181FFC4 +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +99210044 +81410018 +8121001C +7C0A4840 +40800010 +81210018 +89410044 +99490000 +81210018 +39290001 +91210018 +4800002C +81410018 +8121001C +7C0A4840 +40800010 +81210018 +39400020 +99490000 +81210018 +39290001 +91210018 +81210028 +3929FFFF +91210028 +81210028 +2C090000 +4181FFC4 +480007E0 +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +91210020 +81210020 +2C090000 +40820010 +3D200000 +39290A60 +91210020 +8121002C +7D244B78 +80610020 +4BFFEA25 +7C691B78 +91210034 +81210024 +552906F6 +2C090000 +40820048 +4800002C +81410018 +8121001C +7C0A4840 +40800010 +81210018 +39400020 +99490000 +81210018 +39290001 +91210018 +81210028 +3949FFFF +91410028 +81410034 +7C0A4800 +4180FFC4 +39200000 +91210010 +48000048 +81410018 +8121001C +7C0A4840 +40800014 +81210020 +89490000 +81210018 +99490000 +81210018 +39290001 +91210018 +81210020 +39290001 +91210020 +81210010 +39290001 +91210010 +81410010 +81210034 +7C0A4800 +4180FFB0 +4800002C +81410018 +8121001C +7C0A4840 +40800010 +81210018 +39400020 +99490000 +81210018 +39290001 +91210018 +81210028 +3949FFFF +91410028 +81410034 +7C0A4800 +4180FFC4 +4800065C +81410028 +3920FFFF +7C0A4800 +40820018 +39200008 +91210028 +81210024 +61290021 +91210024 +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +7D2A4B78 +81210024 +8101002C +80E10028 +38C00010 +7D455378 +8081001C +80610018 +4BFFEFBD +7C691B78 +91210018 +480005B4 +81210030 +2C09006C +40820074 +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +91210040 +81410018 +81210048 +7D495050 +81210040 +91490000 +48000538 +81210030 +2C09005A +41820010 +81210030 +2C09007A +4082007C +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +9121003C +81410018 +81210048 +7D295050 +7D2A4B78 +8121003C +91490000 +60000000 +480004A8 +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +91210038 +81410018 +81210048 +7D495050 +81210038 +91490000 +48000438 +81410018 +8121001C +7C0A4840 +40800010 +81210018 +39400025 +99490000 +81210018 +39290001 +91210018 +4800040C +39200008 +91210014 +480000A8 +81210024 +61290040 +91210024 +39200010 +91210014 +48000090 +81210024 +61290002 +91210024 +4800007C +81410018 +8121001C +7C0A4840 +40800010 +81210018 +39400025 +99490000 +81210018 +39290001 +91210018 +81210050 +89290000 +2C090000 +41820034 +81410018 +8121001C +7C0A4840 +40800014 +81210050 +89490000 +81210018 +99490000 +81210018 +39290001 +91210018 +48000370 +81210050 +3929FFFF +91210050 +48000360 +60000000 +81210030 +2C09004C +40820090 +81210054 +89290000 +81410054 +894A0000 +554A07FE +554A063E +7D4A4A14 +5548063E +81410054 +990A0000 +28090006 +41810030 +81210054 +81490008 +81210054 +89290000 +39090002 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000020 +81210054 +81290004 +39290007 +55290038 +39090008 +81410054 +910A0004 +81490000 +81690004 +91410008 +9161000C +48000298 +81210030 +2C09006C +40820088 +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +9121000C +39200000 +91210008 +81210024 +552907BC +2C090000 +4182021C +8121000C +9121000C +7D29FE70 +91210008 +48000208 +81210030 +2C09005A +41820010 +81210030 +2C09007A +40820068 +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +9121000C +39200000 +91210008 +4800018C +81210030 +2C090074 +40820068 +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +9121000C +7D29FE70 +91210008 +4800011C +81210030 +2C090068 +40820090 +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +5529043E +9121000C +39200000 +91210008 +81210024 +552907BC +2C090000 +4182009C +A121000E +7D290734 +9121000C +7D29FE70 +91210008 +48000084 +81210054 +89290000 +28090007 +41810030 +81210054 +81490008 +81210054 +89290000 +39090001 +5507063E +81010054 +98E80000 +5529103A +7D2A4A14 +48000018 +81210054 +81290004 +39090004 +81410054 +910A0004 +81290000 +9121000C +39200000 +91210008 +81210024 +552907BC +2C090000 +41820014 +8121000C +9121000C +7D29FE70 +91210008 +8141000C +81210024 +8101002C +80E10028 +80C10014 +7D455378 +8081001C +80610018 +4BFFEA09 +7C691B78 +91210018 +81210050 +39290001 +91210050 +81210050 +89290000 +2C090000 +4082F358 +8121004C +2C090000 +41820034 +81410018 +8121001C +7C0A4840 +40800014 +81210018 +39400000 +99490000 +48000014 +8121001C +3929FFFF +39400000 +99490000 +81410018 +81210048 +7D295050 +7D234B78 +80010064 +7C0803A6 +38210060 +4E800020 +9421FFF0 +90610008 +3D200002 +81410008 +9149E018 +60000000 +38210010 +4E800020 +9421FFF0 +90610008 +9081000C +3D200002 +81410008 +9149E01C +3D200002 +8141000C +9149E020 +60000000 +38210010 +4E800020 +9421FFF0 +7C0802A6 +90010014 +90610008 +3D200002 +8129E018 +2C090000 +41820020 +3D200002 +8129E018 +81410008 +554A063E +7D435378 +7D2903A6 +4E800421 +81210008 +7D234B78 +80010014 +7C0803A6 +38210010 +4E800020 +9421FFF0 +7C0802A6 +90010014 +3D200002 +8129E020 +2C090000 +4182FFF4 +3D200002 +8129E020 +7D2903A6 +4E800421 +7C691B78 +2C090000 +4182FFD8 +3D200002 +8129E01C +7D2903A6 +4E800421 +7C691B78 +7D234B78 +80010014 +7C0803A6 +38210010 +4E800020 +9421FFF0 +7C0802A6 +90010014 +3D200002 +8129E020 +2C090000 +41820028 +3D200002 +8129E020 +7D2903A6 +4E800421 +7C691B78 +2C090000 +4182000C +39200001 +48000008 +39200000 +7D234B78 +80010014 +7C0803A6 +38210010 +4E800020 +9421FFF0 +7C0802A6 +90010014 +90610008 +80610008 +48000025 +3860000A +4BFFFED9 +39200001 +7D234B78 +80010014 +7C0803A6 +38210010 +4E800020 +9421FFF0 +7C0802A6 +90010014 +90610008 +48000020 +81210008 +89290000 +7D234B78 +4BFFFE9D +81210008 +39290001 +91210008 +81210008 +89290000 +2C090000 +4082FFD8 +60000000 +60000000 +80010014 +7C0803A6 +38210010 +4E800020 +9421FEE0 +7C0802A6 +90010124 +90610118 +9081011C +3921000C +80C1011C +80A10118 +38800100 +7D234B78 +4BFFEBF9 +7C691B78 +91210008 +3941000C +81210008 +7D2A4A14 +39400000 +99490000 +3921000C +7D234B78 +4BFFFF59 +81210008 +7D234B78 +80010124 +7C0803A6 +38210120 +4E800020 +9421FF80 +7C0802A6 +90010084 +90610018 +90810024 +90A10028 +90C1002C +90E10030 +91010034 +91210038 +9141003C +40860024 +D8210040 +D8410048 +D8610050 +D8810058 +D8A10060 +D8C10068 +D8E10070 +D9010078 +39200001 +9921000C +39200000 +9921000D +39210088 +91210010 +39210020 +91210014 +3921000C +7D244B78 +80610018 +4BFFFF19 +7C691B78 +91210008 +81210008 +7D234B78 +80010084 +7C0803A6 +38210080 +4E800020 diff --git a/dev/src/test3/rom.s b/dev/src/test3/rom.s index 2129183..61ab7fa 100644 --- a/dev/src/test3/rom.s +++ b/dev/src/test3/rom.s @@ -2,9 +2,9 @@ rom: file format elf32-powerpc Contents of section .kernel: - 0000 48000400 48000f30 00000000 00000000 H...H..0........ + 0000 48000400 44000002 00000000 00000000 H...D........... 0010 00000000 00000000 00000000 00000000 ................ - 0020 48000cdc 00000000 00000000 00000000 H............... + 0020 4800104c 00000000 00000000 00000000 H..L............ 0030 00000000 00000000 00000000 00000000 ................ 0040 48000000 00000000 00000000 00000000 H............... 0050 00000000 00000000 00000000 00000000 ................ @@ -20,7 +20,7 @@ Contents of section .kernel: 00f0 00000000 00000000 00000000 00000000 ................ 0100 48000000 00000000 00000000 00000000 H............... 0110 00000000 00000000 00000000 00000000 ................ - 0120 48000bdc 00000000 00000000 00000000 H............... + 0120 48001194 00000000 00000000 00000000 H............... 0130 00000000 00000000 00000000 00000000 ................ 0140 48000000 00000000 00000000 00000000 H............... 0150 00000000 00000000 00000000 00000000 ................ @@ -80,9 +80,9 @@ Contents of section .kernel: 04b0 61080000 6108023f 7d4011a6 7c8009a6 a...a..?}@..|... 04c0 7d0001a6 4c00012c 48000004 39400000 }...L..,H...9@.. 04d0 654a8002 614ab000 7d400124 4c00012c eJ..aJ..}@.$L.., - 04e0 80200904 48000020 39400000 654a8002 . ..H.. 9@..eJ.. - 04f0 614ab000 7d400124 4c00012c 80200908 aJ..}@.$L..,. .. - 0500 48000004 3c600000 60630920 7c6903a6 H...<`..`c. |i.. + 04e0 802008fa 48000020 39400000 654a8002 . ..H.. 9@..eJ.. + 04f0 614ab000 7d400124 4c00012c 802008fe aJ..}@.$L..,. .. + 0500 48000004 3c600000 60630c34 7c6903a6 H...<`..`c.4|i.. 0510 7c7e6aa6 4e800421 480002e4 00000000 |~j.N..!H....... 0520 00000000 00000000 00000000 00000000 ................ 0530 00000000 00000000 00000000 00000000 ................ @@ -129,7 +129,7 @@ Contents of section .kernel: 07c0 00000000 00000000 00000000 00000000 ................ 07d0 00000000 00000000 00000000 00000000 ................ 07e0 00000000 00000000 00000000 00000000 ................ - 07f0 00000000 00000000 00000000 48000000 ............H... + 07f0 48000000 48000000 00000000 48000000 H...H.......H... 0800 48000000 00000000 00000000 00000000 H............... 0810 00000000 00000000 00000000 00000000 ................ 0820 48000000 00000000 00000000 00000000 H............... @@ -146,140 +146,816 @@ Contents of section .kernel: 08d0 00000000 00000000 00000000 00000000 ................ 08e0 00000000 00000000 00000000 00000000 ................ Contents of section .rodata: - 08f0 43520000 58455200 43545200 4c520000 CR..XER.CTR.LR.. - 0900 54415200 0001fff8 0000fff8 00000000 TAR............. + 08f0 6d61696e 28256929 0a000001 fff80000 main(%i)........ + 0900 fff80000 08080808 08080808 08282828 .............((( + 0910 28280808 08080808 08080808 08080808 ((.............. + 0920 08080808 a0101010 10101010 10101010 ................ + 0930 10101010 04040404 04040404 04041010 ................ + 0940 10101010 10414141 41414101 01010101 .....AAAAAA..... + 0950 01010101 01010101 01010101 01010110 ................ + 0960 10101010 10424242 42424202 02020202 .....BBBBBB..... + 0970 02020202 02020202 02020202 02020210 ................ + 0980 10101008 00000000 00000000 00000000 ................ + 0990 00000000 00000000 00000000 00000000 ................ + 09a0 00000000 a0101010 10101010 10101010 ................ + 09b0 10101010 10101010 10101010 10101010 ................ + 09c0 10101010 01010101 01010101 01010101 ................ + 09d0 01010101 01010101 01010110 01010101 ................ + 09e0 01010102 02020202 02020202 02020202 ................ + 09f0 02020202 02020202 02020210 02020202 ................ + 0a00 02020202 41626f72 7465642e 00000000 ....Aborted..... + 0a10 30313233 34353637 38394142 43444546 0123456789ABCDEF + 0a20 4748494a 4b4c4d4e 4f505152 53545556 GHIJKLMNOPQRSTUV + 0a30 5758595a 00000000 30313233 34353637 WXYZ....01234567 + 0a40 38396162 63646566 6768696a 6b6c6d6e 89abcdefghijklmn + 0a50 6f707172 73747576 7778797a 00000000 opqrstuvwxyz.... + 0a60 3c4e554c 4c3e0000 000021c0 000021f0 ....!...!. + 0a70 000021f0 000021d0 000021f0 000021f0 ..!...!...!...!. + 0a80 000021f0 000021f0 000021f0 000021f0 ..!...!...!...!. + 0a90 000021f0 000021b0 000021f0 000021a0 ..!...!...!...!. + 0aa0 000021f0 000021f0 000021e0 00002928 ..!...!...!...)( + 0ab0 00002988 00002988 00002988 00002988 ..)...)...)...). + 0ac0 00002988 00002988 00002988 00002988 ..)...)...)...). + 0ad0 00002988 00002988 00002988 00002988 ..)...)...)...). + 0ae0 00002988 00002988 00002988 00002988 ..)...)...)...). + 0af0 00002988 00002988 00002988 00002988 ..)...)...)...). + 0b00 00002988 00002988 00002988 00002988 ..)...)...)...). + 0b10 00002988 00002988 00002988 00002988 ..)...)...)...). + 0b20 00002988 00002988 00002988 00002988 ..)...)...)...). + 0b30 00002988 00002988 00002988 00002988 ..)...)...)...). + 0b40 00002988 00002988 00002988 00002988 ..)...)...)...). + 0b50 00002988 00002988 00002988 00002988 ..)...)...)...). + 0b60 00002988 00002988 00002988 00002988 ..)...)...)...). + 0b70 00002988 00002988 00002960 00002988 ..)...)...)`..). + 0b80 00002988 00002988 00002988 00002988 ..)...)...)...). + 0b90 00002988 00002988 00002988 00002988 ..)...)...)...). + 0ba0 00002988 00002464 00002978 00002988 ..)...$d..)x..). + 0bb0 00002988 00002988 00002988 00002978 ..)...)...)...)x + 0bc0 00002988 00002988 00002988 00002988 ..)...)...)...). + 0bd0 000027ac 00002954 00002704 00002988 ..'...)T..'...). + 0be0 00002988 00002580 00002988 00002a00 ..)...%...)...*. + 0bf0 00002988 00002988 0000296c 00000000 ..)...)...)l.... Contents of section .bios: - 0910 60000000 60000000 60000000 60000000 `...`...`...`... - 0920 9421ffc0 90610038 3d200001 81290000 .!...a.8= ...).. - 0930 9121000c 3d200000 39290d20 91210010 .!..= ..9). .!.. - 0940 81210038 2c090000 4182000c 3920ffff .!.8,...A...9 .. - 0950 48000188 3d200000 81290d00 91210008 H...= ...)...!.. - 0960 48000028 8121000c 39490004 9141000c H..(.!..9I...A.. - 0970 81410008 814a0000 91490000 81210008 .A...J...I...!.. - 0980 39290004 91210008 3d200000 81290d00 9)...!..= ...).. - 0990 81410008 7c0a4840 4180ffcc 3d200001 .A..|.H@A...= .. - 09a0 81290000 91210008 48000020 3d200001 .)...!..H.. = .. - 09b0 81290000 39400000 91490000 81210008 .)..9@...I...!.. - 09c0 39290004 91210008 3d200001 81290000 9)...!..= ...).. - 09d0 81410008 7c0a4840 4180ffd4 3d200300 .A..|.H@A...= .. - 09e0 91210034 81210034 3c800000 60840009 .!.4.!.4<...`... - 09f0 7c934ba6 60000000 39200000 91210030 |.K.`...9 ...!.0 - 0a00 81210030 3c800000 60840009 7c9603a6 .!.0<...`...|... - 0a10 60000000 39200000 9121002c 8121002c `...9 ...!.,.!., - 0a20 3c800000 60840009 7c9d43a6 60000000 <...`...|.C.`... - 0a30 39200000 91210028 81210028 3c800000 9 ...!.(.!.(<... - 0a40 60840009 7c9c43a6 60000000 3d20fe00 `...|.C.`...= .. - 0a50 91210024 81210024 3c800000 60840009 .!.$.!.$<...`... - 0a60 7c9053a6 60000000 7d36faa6 91210020 |.S.`...}6...!. - 0a70 81210020 552905ac 9121001c 8121001c .!. U)...!...!.. - 0a80 3c800000 60840009 7c96fba6 60000000 <...`...|...`... - 0a90 39200000 91210018 81210018 3c800000 9 ...!...!..<... - 0aa0 60840009 7c9053a6 60000000 39200000 `...|.S.`...9 .. - 0ab0 91210014 81210014 3c800000 60840009 .!...!..<...`... - 0ac0 7c9453a6 60000000 81210010 7d234b78 |.S.`....!..}#Kx - 0ad0 48000370 48000000 7d234b78 38210040 H..pH...}#Kx8!.@ - 0ae0 4e800020 9421ffe0 7c0802a6 90010024 N.. .!..|......$ - 0af0 90610018 39200001 91210008 39200000 .a..9 ...!..9 .. - 0b00 9121000c 81410018 3d200867 61295309 .!...A..= .ga)S. - 0b10 7c0a4800 4182000c 39200000 91210008 |.H.A...9 ...!.. - 0b20 81210008 2c090000 41820028 3d200000 .!..,...A..(= .. - 0b30 388908f0 38600020 48000115 7c691b78 8...8`. H...|i.x - 0b40 2c090000 4182000c 39200001 48000008 ,...A...9 ..H... - 0b50 39200000 91210008 81210008 2c090000 9 ...!...!..,... - 0b60 41820028 3d200000 388908f4 38600021 A..(= ..8...8`.! - 0b70 480000dd 7c691b78 2c090000 4182000c H...|i.x,...A... - 0b80 39200001 48000008 39200000 91210008 9 ..H...9 ...!.. - 0b90 81210008 2c090000 41820028 3d200000 .!..,...A..(= .. - 0ba0 388908f8 38600022 480000a5 7c691b78 8...8`."H...|i.x - 0bb0 2c090000 4182000c 39200001 48000008 ,...A...9 ..H... - 0bc0 39200000 91210008 81210008 2c090000 9 ...!...!..,... - 0bd0 41820028 3d200000 388908fc 38600023 A..(= ..8...8`.# - 0be0 4800006d 7c691b78 2c090000 4182000c H..m|i.x,...A... - 0bf0 39200001 48000008 39200000 91210008 9 ..H...9 ...!.. - 0c00 81210008 2c090000 41820028 3d200000 .!..,...A..(= .. - 0c10 38890900 38600024 48000035 7c691b78 8...8`.$H..5|i.x - 0c20 2c090000 4182000c 39200001 48000008 ,...A...9 ..H... - 0c30 39200000 91210008 60000000 80010024 9 ...!..`......$ - 0c40 7c0803a6 38210020 4e800020 9421ffe0 |...8!. N.. .!.. - 0c50 90610018 9081001c 39200001 91210008 .a......9 ...!.. - 0c60 81210018 552a103a 3d200000 39290d20 .!..U*.:= ..9). - 0c70 7d2a4a14 81290000 9121000c 81210018 }*J..)...!...!.. - 0c80 552a103a 3d200000 39291020 7d2a4a14 U*.:= ..9). }*J. - 0c90 81290000 91210010 81210018 552a103a .)...!...!..U*.: - 0ca0 3d200000 392910c0 7d2a4a14 81290000 = ..9)..}*J..).. - 0cb0 91210014 81410010 81210014 7d494a78 .!...A...!..}IJx - 0cc0 3149ffff 7d2a4910 5529063e 91210008 1I..}*I.U).>.!.. - 0cd0 81210008 7d234b78 38210020 4e800020 .!..}#Kx8!. N.. - 0ce0 9421fff0 90610008 9081000c 48000248 .!...a......H..H - 0cf0 60000000 38210010 4e800020 48000000 `...8!..N.. H... + 0c00 9421fff0 7c691b78 99210008 3d200001 .!..|i.x.!..= .. + 0c10 81292000 39090001 3d400001 910a2000 .) .9...=@.... . + 0c20 89410008 99490000 60000000 38210010 .A...I..`...8!.. + 0c30 4e800020 9421ffc0 7c0802a6 90010044 N.. .!..|......D + 0c40 90610038 3d200001 39292000 9121000c .a.8= ..9) ..!.. + 0c50 3d200000 392910a0 91210010 81210038 = ..9)...!...!.8 + 0c60 2c090000 4182000c 3920ffff 480001cc ,...A...9 ..H... + 0c70 3d200000 39291070 91210008 48000028 = ..9).p.!..H..( + 0c80 8121000c 39490004 9141000c 81410008 .!..9I...A...A.. + 0c90 814a0000 91490000 81210008 39290004 .J...I...!..9).. + 0ca0 91210008 81410008 3d200000 39291078 .!...A..= ..9).x + 0cb0 7c0a4840 4180ffcc 3d200002 3929e008 |.H@A...= ..9).. + 0cc0 91210008 48000024 81210008 39490004 .!..H..$.!..9I.. + 0cd0 91410008 39400000 91490000 81210008 .A..9@...I...!.. + 0ce0 39290004 91210008 81410008 3d200002 9)...!...A..= .. + 0cf0 3929e028 7c0a4840 4180ffd0 3d200000 9).(|.H@A...= .. + 0d00 38690c00 48002b7d 38600077 48002bc5 8i..H.+}8`.wH.+. + 0d10 38600074 48002bbd 38600066 48002bb5 8`.tH.+.8`.fH.+. + 0d20 3860000a 48002bad 80810038 3d200000 8`..H.+....8= .. + 0d30 386908f0 4cc63182 48002da1 3d200300 8i..L.1.H.-.= .. + 0d40 91210034 81210034 3c800000 60840009 .!.4.!.4<...`... + 0d50 7c934ba6 60000000 39200000 91210030 |.K.`...9 ...!.0 + 0d60 81210030 3c800000 60840009 7c9603a6 .!.0<...`...|... + 0d70 60000000 39200000 9121002c 8121002c `...9 ...!.,.!., + 0d80 3c800000 60840009 7c9d43a6 60000000 <...`...|.C.`... + 0d90 39200000 91210028 81210028 3c800000 9 ...!.(.!.(<... + 0da0 60840009 7c9c43a6 60000000 3d20fe00 `...|.C.`...= .. + 0db0 91210024 81210024 3c800000 60840009 .!.$.!.$<...`... + 0dc0 7c9053a6 60000000 7d36faa6 91210020 |.S.`...}6...!. + 0dd0 81210020 552905ac 9121001c 8121001c .!. U)...!...!.. + 0de0 3c800000 60840009 7c96fba6 60000000 <...`...|...`... + 0df0 39200000 91210018 81210018 3c800000 9 ...!...!..<... + 0e00 60840009 7c9053a6 60000000 39200000 `...|.S.`...9 .. + 0e10 91210014 81210014 3c800000 60840009 .!...!..<...`... + 0e20 7c9453a6 60000000 81210010 7d234b78 |.S.`....!..}#Kx + 0e30 48000390 48000000 7d234b78 80010044 H...H...}#Kx...D + 0e40 7c0803a6 38210040 4e800020 9421ffe0 |...8!.@N.. .!.. + 0e50 7c0802a6 90010024 90610018 39200001 |......$.a..9 .. + 0e60 9121000c 81410018 3d200867 61295309 .!...A..= .ga)S. + 0e70 7c0a4800 4182000c 3c608000 4bfff979 |.H.A...<`..K..y + 0e80 39200000 91210008 4800008c 81210008 9 ...!..H....!.. + 0e90 552a103a 3d200000 392913a0 7d2a4a14 U*.:= ..9)..}*J. + 0ea0 81290000 91210010 81210008 552a103a .)...!...!..U*.: + 0eb0 3d200000 39291440 7d2a4a14 81290000 = ..9).@}*J..).. + 0ec0 91210014 8121000c 2c090000 4182001c .!...!..,...A... + 0ed0 81410010 81210014 7c0a4800 4082000c .A...!..|.H.@... + 0ee0 39200001 48000008 39200000 9121000c 9 ..H...9 ...!.. + 0ef0 8121000c 2c090000 40820010 81210008 .!..,...@....!.. + 0f00 7d234b78 4bfff8f1 81210008 39290001 }#KxK....!..9).. + 0f10 91210008 81210008 2809001f 4081ff70 .!...!..(...@..p + 0f20 39200021 91210008 81210008 552a103a 9 .!.!...!..U*.: + 0f30 3d200000 392913a0 7d2a4a14 81290000 = ..9)..}*J..).. + 0f40 91210010 81210008 552a103a 3d200000 .!...!..U*.:= .. + 0f50 39291440 7d2a4a14 81290000 55290644 9).@}*J..)..U).D + 0f60 91210014 8121000c 2c090000 4182001c .!...!..,...A... + 0f70 81410010 81210014 7c0a4800 4082000c .A...!..|.H.@... + 0f80 39200001 48000008 39200000 9121000c 9 ..H...9 ...!.. + 0f90 8121000c 2c090000 40820010 81210008 .!..,...@....!.. + 0fa0 7d234b78 4bfff851 39200022 91210008 }#KxK..Q9 .".!.. + 0fb0 4800008c 81210008 552a103a 3d200000 H....!..U*.:= .. + 0fc0 392913a0 7d2a4a14 81290000 91210010 9)..}*J..)...!.. + 0fd0 81210008 552a103a 3d200000 39291440 .!..U*.:= ..9).@ + 0fe0 7d2a4a14 81290000 91210014 8121000c }*J..)...!...!.. + 0ff0 2c090000 4182001c 81410010 81210014 ,...A....A...!.. + 1000 7c0a4800 4082000c 39200001 48000008 |.H.@...9 ..H... + 1010 39200000 9121000c 8121000c 2c090000 9 ...!...!..,... + 1020 40820010 81210008 7d234b78 4bfff7c9 @....!..}#KxK... + 1030 81210008 39290001 91210008 81210008 .!..9)...!...!.. + 1040 28090023 4081ff70 4bfff7a9 48000000 (..#@..pK...H... + 1050 9421fff0 90610008 9081000c 48000258 .!...a......H..X + 1060 60000000 38210010 4e800020 48000000 `...8!..N.. H... +Contents of section .data: + 12000 0001c000 00000000 ........ Contents of section .arcitst: - 0d00 696e666f 20746578 74006865 61646572 info text.header - 0d10 20746578 74000000 00000000 00000000 text........... - 0d20 00000000 5822c905 ffffffff 91b6d1a3 ....X".......... - 0d30 ffffffff ffffffff ffffffff ffffffff ................ - 0d40 ffffffff 7e11ee88 ffffffff 7fffffff ....~........... - 0d50 ffffffff ffffffff 8c20bde6 ffffffff ......... ...... - 0d60 76d0dadf 15111f42 ffffffff 36108e50 v......B....6..P - 0d70 ffffffff ffffffff 328a0ced ffffffff ........2....... - 0d80 ffffffff af224c19 ffffffff ffffffff ....."L......... - 0d90 d624b27a ffffffff ffffffff ffffffff .$.z............ - 0da0 dbfd3628 89f0006e ffffffff ffffffff ..6(...n........ - 0db0 ffffffff 00001104 00010000 00000000 ................ - 0dc0 0000000d 7c61cc14 7d230595 7ac37392 ....|a..}#..z.s. - 0dd0 7e094c11 7e1cb115 7a338886 7c6004d1 ~.L.~...z3..|`.. - 0de0 7e09b038 7c360591 7e2b00d1 60000000 ~..8|6..~+..`... - 0df0 60000000 60000000 00010000 00010004 `...`........... - 0e00 00010008 0001000c 00010010 00010014 ................ - 0e10 00010018 0001001c 00010020 00010024 ........... ...$ - 0e20 00010028 0001002c 00010030 48000014 ...(...,...0H... - 0e30 60000000 60000000 60000000 60000000 `...`...`...`... - 0e40 9023009c 802300a0 7c2903a6 382300a4 .#...#..|)..8#.. - 0e50 384300d8 80810000 80a20000 90850000 8C.............. - 0e60 38210004 38420004 4200ffec 3c804800 8!..8B..B...<.H. - 0e70 60840006 90850000 80200db4 7c3b03a6 `........ ..|;.. - 0e80 80200df8 7c3a03a6 80230080 7c2ff120 . ..|:...#..|/. - 0e90 80230084 7c2103a6 80230088 7c2903a6 .#..|!...#..|).. - 0ea0 8023008c 7c2803a6 80230090 7c2fcba6 .#..|(...#..|/.. - 0eb0 80030000 80230004 80430008 80830010 .....#...C...... - 0ec0 80a30014 80c30018 80e3001c 81030020 ............... - 0ed0 81230024 81430028 8163002c 81830030 .#.$.C.(.c.,...0 - 0ee0 81a30034 81c30038 81e3003c 82030040 ...4...8...<...@ - 0ef0 82230044 82430048 8263004c 82830050 .#.D.C.H.c.L...P - 0f00 82a30054 82c30058 82e3005c 83030060 ...T...X...\...` - 0f10 83230064 83430068 8363006c 83830070 .#.d.C.h.c.l...p - 0f20 83a30074 83c30078 83e3007c 8063000c ...t...x...|.c.. - 0f30 48010002 4800000c 60000000 60000000 H...H...`...`... - 0f40 7c2fcba6 3c200000 60211020 90010000 |/..< ..`!. .... - 0f50 90410008 9061000c 90810010 90a10014 .A...a.......... - 0f60 90c10018 90e1001c 91010020 91210024 ........... .!.$ - 0f70 91410028 9161002c 91810030 91a10034 .A.(.a.,...0...4 - 0f80 91c10038 91e1003c 92010040 92210044 ...8...<...@.!.D - 0f90 92410048 9261004c 92810050 92a10054 .A.H.a.L...P...T - 0fa0 92c10058 92e1005c 93010060 93210064 ...X...\...`.!.d - 0fb0 93410068 9361006c 93810070 93a10074 .A.h.a.l...p...t - 0fc0 93c10078 93e1007c 7c4fcaa6 90410004 ...x...||O...A.. - 0fd0 7c400026 90410080 7c4102a6 90410084 |@.&.A..|A...A.. - 0fe0 7c4902a6 90410088 7c4802a6 9041008c |I...A..|H...A.. - 0ff0 7c4fcaa6 90410090 3c600000 60630d20 |O...A..<`..`c. - 1000 8023009c 3c600867 60635309 4bfffad8 .#..<`.g`cS.K... - 1010 60000000 60000000 60000000 60000000 `...`...`...`... - 1020 ffffffff ffffffff ffffffff ffffffff ................ - 1030 ffffffff ffffffff ffffffff ffffffff ................ - 1040 ffffffff ffffffff ffffffff ffffffff ................ - 1050 ffffffff ffffffff ffffffff ffffffff ................ - 1060 ffffffff ffffffff ffffffff ffffffff ................ - 1070 ffffffff ffffffff ffffffff ffffffff ................ - 1080 ffffffff ffffffff ffffffff ffffffff ................ - 1090 ffffffff ffffffff ffffffff ffffffff ................ - 10a0 ffffffff ffffffff ffffffff ffffffff ................ - 10b0 ffffffff 60000000 60000000 60000000 ....`...`...`... - 10c0 00000000 cd75f313 ffffffff 00000000 .....u.......... - 10d0 ffffffff ffffffff ffffffff ffffffff ................ - 10e0 ffffffff 008a0c68 ffffffff 7fffffff .......h........ - 10f0 ffffffff ffffffff 8c20bde6 ffffffff ......... ...... - 1100 08aebf68 80000001 ffffffff 00000000 ...h............ - 1110 ffffffff ffffffff 328a0ced ffffffff ........2....... - 1120 ffffffff af224c19 ffffffff ffffffff ....."L......... - 1130 d624b27a ffffffff ffffffff ffffffff .$.z............ - 1140 9bfd3628 98f0006e ffffffff ffffffff ..6(...n........ - 1150 ffffffff 00001104 00010038 ...........8 + 1080 696e666f 20746578 74006865 61646572 info text.header + 1090 20746578 74000000 00000000 00000000 text........... + 10a0 00000000 5822c905 ffffffff 91b6d1a3 ....X".......... + 10b0 ffffffff ffffffff ffffffff ffffffff ................ + 10c0 ffffffff 7e11ee88 ffffffff 7fffffff ....~........... + 10d0 ffffffff ffffffff 8c20bde6 ffffffff ......... ...... + 10e0 76d0dadf 15111f42 ffffffff 36108e50 v......B....6..P + 10f0 ffffffff ffffffff 328a0ced ffffffff ........2....... + 1100 ffffffff af224c19 ffffffff ffffffff ....."L......... + 1110 d624b27a ffffffff ffffffff ffffffff .$.z............ + 1120 dbfd3628 89f0006e ffffffff ffffffff ..6(...n........ + 1130 ffffffff 00001104 00010000 00000000 ................ + 1140 0000000d 7c61cc14 7d230595 7ac37392 ....|a..}#..z.s. + 1150 7e094c11 7e1cb115 7a338886 7c6004d1 ~.L.~...z3..|`.. + 1160 7e09b038 7c360591 7e2b00d1 60000000 ~..8|6..~+..`... + 1170 60000000 60000000 00010000 00010004 `...`........... + 1180 00010008 0001000c 00010010 00010014 ................ + 1190 00010018 0001001c 00010020 00010024 ........... ...$ + 11a0 00010028 0001002c 00010030 48000014 ...(...,...0H... + 11b0 60000000 60000000 60000000 60000000 `...`...`...`... + 11c0 9023009c 802300a0 7c2903a6 382300a4 .#...#..|)..8#.. + 11d0 384300d8 80810000 80a20000 90850000 8C.............. + 11e0 38210004 38420004 4200ffec 3c804800 8!..8B..B...<.H. + 11f0 60840006 90850000 80201134 7c3b03a6 `........ .4|;.. + 1200 80201178 7c3a03a6 80230080 7c2ff120 . .x|:...#..|/. + 1210 80230084 7c2103a6 80230088 7c2903a6 .#..|!...#..|).. + 1220 8023008c 7c2803a6 80230090 7c2fcba6 .#..|(...#..|/.. + 1230 80030000 80230004 80430008 80830010 .....#...C...... + 1240 80a30014 80c30018 80e3001c 81030020 ............... + 1250 81230024 81430028 8163002c 81830030 .#.$.C.(.c.,...0 + 1260 81a30034 81c30038 81e3003c 82030040 ...4...8...<...@ + 1270 82230044 82430048 8263004c 82830050 .#.D.C.H.c.L...P + 1280 82a30054 82c30058 82e3005c 83030060 ...T...X...\...` + 1290 83230064 83430068 8363006c 83830070 .#.d.C.h.c.l...p + 12a0 83a30074 83c30078 83e3007c 8063000c ...t...x...|.c.. + 12b0 4c000064 4800000c 60000000 60000000 L..dH...`...`... + 12c0 7c2fcba6 3c200000 602113a0 90010000 |/..< ..`!...... + 12d0 90410008 9061000c 90810010 90a10014 .A...a.......... + 12e0 90c10018 90e1001c 91010020 91210024 ........... .!.$ + 12f0 91410028 9161002c 91810030 91a10034 .A.(.a.,...0...4 + 1300 91c10038 91e1003c 92010040 92210044 ...8...<...@.!.D + 1310 92410048 9261004c 92810050 92a10054 .A.H.a.L...P...T + 1320 92c10058 92e1005c 93010060 93210064 ...X...\...`.!.d + 1330 93410068 9361006c 93810070 93a10074 .A.h.a.l...p...t + 1340 93c10078 93e1007c 7c4fcaa6 90410004 ...x...||O...A.. + 1350 7c400026 90410080 7c4102a6 90410084 |@.&.A..|A...A.. + 1360 7c4902a6 90410088 7c4802a6 9041008c |I...A..|H...A.. + 1370 7c4fcaa6 90410090 3c600000 606310a0 |O...A..<`..`c.. + 1380 8023009c 3c600867 60635309 48000e4f .#..<`.g`cS.H..O + 1390 60000000 60000000 60000000 60000000 `...`...`...`... + 13a0 ffffffff ffffffff ffffffff ffffffff ................ + 13b0 ffffffff ffffffff ffffffff ffffffff ................ + 13c0 ffffffff ffffffff ffffffff ffffffff ................ + 13d0 ffffffff ffffffff ffffffff ffffffff ................ + 13e0 ffffffff ffffffff ffffffff ffffffff ................ + 13f0 ffffffff ffffffff ffffffff ffffffff ................ + 1400 ffffffff ffffffff ffffffff ffffffff ................ + 1410 ffffffff ffffffff ffffffff ffffffff ................ + 1420 ffffffff ffffffff ffffffff ffffffff ................ + 1430 ffffffff 60000000 60000000 60000000 ....`...`...`... + 1440 00000000 cd75f313 ffffffff 00000000 .....u.......... + 1450 ffffffff ffffffff ffffffff ffffffff ................ + 1460 ffffffff 008a0c68 ffffffff 7fffffff .......h........ + 1470 ffffffff ffffffff 8c20bde6 ffffffff ......... ...... + 1480 08aebf68 80000001 ffffffff 00000000 ...h............ + 1490 ffffffff ffffffff 328a0ced ffffffff ........2....... + 14a0 ffffffff af224c19 ffffffff ffffffff ....."L......... + 14b0 d624b27a ffffffff ffffffff ffffffff .$.z............ + 14c0 9bfd3628 98f0006e ffffffff ffffffff ..6(...n........ + 14d0 ffffffff 00001104 00010038 ...........8 +Contents of section .text: + 14dc 9421fff0 7c691b78 99210008 89210008 .!..|i.x.!...!.. + 14ec 3d400000 394a0904 7d2a48ae 552907bc =@..9J..}*H.U).. + 14fc 2c090000 41820010 89210008 3929ffe0 ,...A....!..9).. + 150c 99210008 89210008 7d234b78 38210010 .!...!..}#Kx8!.. + 151c 4e800020 9421fff0 7c691b78 b1210008 N.. .!..|i.x.!.. + 152c a1210008 5529402e 7d2a0734 a1210008 .!..U)@.}*.4.!.. + 153c 5529c23e 5529043e 7d290734 7d494b78 U).>U).>}).4}IKx + 154c 7d290734 5529043e 7d234b78 38210010 }).4U).>}#Kx8!.. + 155c 4e800020 9421fff0 90610008 81210008 N.. .!...a...!.. + 156c 552a463e 81210008 5529c23e 5529042e U*F>.!..U).>U).. + 157c 7d4a4b78 81210008 5529402e 5529021e }JKx.!..U)@.U).. + 158c 7d4a4b78 81210008 5529c00e 7d494b78 }JKx.!..U)..}IKx + 159c 7d234b78 38210010 4e800020 9421fff0 }#Kx8!..N.. .!.. + 15ac 90610008 9081000c 48000028 81210008 .a......H..(.!.. + 15bc 89290000 2c090000 4082000c 39200000 .)..,...@...9 .. + 15cc 4800002c 81210008 39290001 91210008 H..,.!..9)...!.. + 15dc 81210008 89490000 8121000c 5529063e .!...I...!..U).> + 15ec 7c0a4840 4082ffc8 81210008 7d234b78 |.H@@....!..}#Kx + 15fc 38210010 4e800020 9421ffe0 90610018 8!..N.. .!...a.. + 160c 9081001c 81210018 91210008 48000058 .....!...!..H..X + 161c 8121001c 9121000c 48000030 81210008 .!...!..H..0.!.. + 162c 89490000 8121000c 89290000 7c0a4840 .I...!...)..|.H@ + 163c 4082000c 81210008 48000040 8121000c @....!..H..@.!.. + 164c 39290001 9121000c 8121000c 89290000 9)...!...!...).. + 165c 2c090000 4082ffc8 81210008 39290001 ,...@....!..9).. + 166c 91210008 81210008 89290000 2c090000 .!...!...)..,... + 167c 4082ffa0 39200000 7d234b78 38210020 @...9 ..}#Kx8!. + 168c 4e800020 9421ffe0 7c0802a6 90010024 N.. .!..|......$ + 169c 90610018 9081001c 80610018 480003dd .a.......a..H... + 16ac 7c6a1b78 81210018 7d295214 91210008 |j.x.!..})R..!.. + 16bc 81210008 89490000 8121001c 5529063e .!...I...!..U).> + 16cc 7c0a4840 4082000c 81210008 48000024 |.H@@....!..H..$ + 16dc 81210008 3929ffff 91210008 81410008 .!..9)...!...A.. + 16ec 81210018 7c0a4840 4080ffc8 39200000 .!..|.H@@...9 .. + 16fc 7d234b78 80010024 7c0803a6 38210020 }#Kx...$|...8!. + 170c 4e800020 9421ffe0 90610008 9081000c N.. .!...a...... + 171c 90a10010 48000030 81210008 89490000 ....H..0.!...I.. + 172c 81210010 5529063e 7c0a4840 4082000c .!..U).>|.H@@... + 173c 81210008 48000038 81210008 39290001 .!..H..8.!..9).. + 174c 91210008 8121000c 3949ffff 9141000c .!...!..9I...A.. + 175c 2c090000 41820014 81210008 89290000 ,...A....!...).. + 176c 2c090000 4082ffb4 39200000 7d234b78 ,...@...9 ..}#Kx + 177c 38210020 4e800020 9421ffe0 90610018 8!. N.. .!...a.. + 178c 9081001c 81210018 91210008 60000000 .....!...!..`... + 179c 8141001c 392a0001 9121001c 81210018 .A..9*...!...!.. + 17ac 39090001 91010018 894a0000 99490000 9........J...I.. + 17bc 89290000 2c090000 4082ffd8 81210008 .)..,...@....!.. + 17cc 7d234b78 38210020 4e800020 9421ffd0 }#Kx8!. N.. .!.. + 17dc 90610018 9081001c 90a10020 81210018 .a......... .!.. + 17ec 91210008 48000048 8121001c 89490000 .!..H..H.!...I.. + 17fc 81210008 99490000 81210008 89290000 .!...I...!...).. + 180c 2c090000 41820010 8121001c 39290001 ,...A....!..9).. + 181c 9121001c 81210008 39290001 91210008 .!...!..9)...!.. + 182c 81210020 3929ffff 91210020 81210020 .!. 9)...!. .!. + 183c 2c090000 4082ffb4 81210018 7d234b78 ,...@....!..}#Kx + 184c 38210030 4e800020 9421ffe0 90610018 8!.0N.. .!...a.. + 185c 9081001c 81210018 89490000 8121001c .....!...I...!.. + 186c 39090001 9101001c 89290000 7d295050 9........)..})PP + 187c 5529063e 99210008 89210008 7d290774 U).>.!...!..}).t + 188c 2c090000 40820020 81210018 39490001 ,...@.. .!..9I.. + 189c 91410018 89290000 2c090000 41820008 .A...)..,...A... + 18ac 4bffffb4 89210008 7d290774 7d234b78 K....!..}).t}#Kx + 18bc 38210020 4e800020 9421ffd0 90610018 8!. N.. .!...a.. + 18cc 9081001c 90a10020 39200000 9121000c ....... 9 ...!.. + 18dc 39200000 99210008 4800005c 81210018 9 ...!..H..\.!.. + 18ec 89490000 8121001c 39090001 9101001c .I...!..9....... + 18fc 89290000 7d295050 5529063e 99210008 .)..})PPU).>.!.. + 190c 89210008 7d290774 2c090000 40820038 .!..}).t,...@..8 + 191c 81210018 39490001 91410018 89290000 .!..9I...A...).. + 192c 2c090000 41820020 8121000c 39290001 ,...A.. .!..9).. + 193c 9121000c 8141000c 81210020 7c0a4840 .!...A...!. |.H@ + 194c 4180ff9c 89210008 7d290774 7d234b78 A....!..}).t}#Kx + 195c 38210030 4e800020 9421ffe0 90610018 8!.0N.. .!...a.. + 196c 9081001c 81210018 91210008 48000010 .....!...!..H... + 197c 81210018 39290001 91210018 81210018 .!..9)...!...!.. + 198c 89290000 2c090000 4082ffe8 60000000 .)..,...@...`... + 199c 8141001c 392a0001 9121001c 81210018 .A..9*...!...!.. + 19ac 39090001 91010018 894a0000 99490000 9........J...I.. + 19bc 89290000 2c090000 4082ffd8 81210008 .)..,...@....!.. + 19cc 7d234b78 38210020 4e800020 9421ffd0 }#Kx8!. N.. .!.. + 19dc 90610018 9081001c 90a10020 81210018 .a......... .!.. + 19ec 91210008 81210020 2c090000 4182007c .!...!. ,...A..| + 19fc 48000010 81210018 39290001 91210018 H....!..9)...!.. + 1a0c 81210018 89290000 2c090000 4082ffe8 .!...)..,...@... + 1a1c 4800002c 81210020 3929ffff 91210020 H..,.!. 9)...!. + 1a2c 81210020 2c090000 40820014 81210018 .!. ,...@....!.. + 1a3c 39400000 99490000 48000030 8141001c 9@...I..H..0.A.. + 1a4c 392a0001 9121001c 81210018 39090001 9*...!...!..9... + 1a5c 91010018 894a0000 99490000 89290000 .....J...I...).. + 1a6c 2c090000 4082ffb0 81210008 7d234b78 ,...@....!..}#Kx + 1a7c 38210030 4e800020 9421ffe0 90610018 8!.0N.. .!...a.. + 1a8c 81210018 91210008 48000010 81210008 .!...!..H....!.. + 1a9c 39290001 91210008 81210008 89290000 9)...!...!...).. + 1aac 2c090000 4082ffe8 81410008 81210018 ,...@....A...!.. + 1abc 7d295050 7d234b78 38210020 4e800020 })PP}#Kx8!. N.. + 1acc 9421ffe0 90610018 9081001c 81210018 .!...a.......!.. + 1adc 91210008 48000010 81210008 39290001 .!..H....!..9).. + 1aec 91210008 8121001c 3949ffff 9141001c .!...!..9I...A.. + 1afc 2c090000 41820014 81210008 89290000 ,...A....!...).. + 1b0c 2c090000 4082ffd4 81410008 81210018 ,...@....A...!.. + 1b1c 7d295050 7d234b78 38210020 4e800020 })PP}#Kx8!. N.. + 1b2c 9421ffe0 90610018 9081001c 39200000 .!...a......9 .. + 1b3c 91210010 81210018 91210008 4800007c .!...!...!..H..| + 1b4c 8121001c 9121000c 48000028 81210008 .!...!..H..(.!.. + 1b5c 89490000 8121000c 89290000 7c0a4840 .I...!...)..|.H@ + 1b6c 41820024 8121000c 39290001 9121000c A..$.!..9)...!.. + 1b7c 8121000c 89290000 2c090000 4082ffd0 .!...)..,...@... + 1b8c 48000008 60000000 8121000c 89290000 H...`....!...).. + 1b9c 2c090000 4082000c 81210010 48000030 ,...@....!..H..0 + 1bac 81210010 39290001 91210010 81210008 .!..9)...!...!.. + 1bbc 39290001 91210008 81210008 89290000 9)...!...!...).. + 1bcc 2c090000 4082ff7c 81210010 7d234b78 ,...@..|.!..}#Kx + 1bdc 38210020 4e800020 9421ffd0 90610018 8!. N.. .!...a.. + 1bec 9081001c 90a10020 39200000 91210010 ....... 9 ...!.. + 1bfc 81210018 91210008 8121001c 9121000c .!...!...!...!.. + 1c0c 48000050 81210008 89290000 7d2a4b78 H..P.!...)..}*Kx + 1c1c 8121000c 89290000 7d295050 91210010 .!...)..})PP.!.. + 1c2c 81210010 2c090000 40820038 81210008 .!..,...@..8.!.. + 1c3c 39290001 91210008 8121000c 39290001 9)...!...!..9).. + 1c4c 9121000c 81210020 3929ffff 91210020 .!...!. 9)...!. + 1c5c 81210020 2c090000 4082ffac 48000008 .!. ,...@...H... + 1c6c 60000000 81210010 7d234b78 38210030 `....!..}#Kx8!.0 + 1c7c 4e800020 9421ffd0 90610018 9081001c N.. .!...a...... + 1c8c 90a10020 81210018 91210008 4800001c ... .!...!..H... + 1c9c 81210008 39490001 91410008 8141001c .!..9I...A...A.. + 1cac 554a063e 99490000 81210020 3949ffff UJ.>.I...!. 9I.. + 1cbc 91410020 2c090000 4082ffd8 81210018 .A. ,...@....!.. + 1ccc 7d234b78 38210030 4e800020 9421ffd0 }#Kx8!.0N.. .!.. + 1cdc 90610018 9081001c 90a10020 81210018 .a......... .!.. + 1cec 91210008 8121001c 9121000c 48000024 .!...!...!..H..$ + 1cfc 8141000c 392a0001 9121000c 81210008 .A..9*...!...!.. + 1d0c 39090001 91010008 894a0000 99490000 9........J...I.. + 1d1c 81210020 3949ffff 91410020 2c090000 .!. 9I...A. ,... + 1d2c 4082ffd0 81210018 7d234b78 38210030 @....!..}#Kx8!.0 + 1d3c 4e800020 9421ffd0 90610018 9081001c N.. .!...a...... + 1d4c 90a10020 81410018 8121001c 7c0a4840 ... .A...!..|.H@ + 1d5c 41810050 81210018 91210008 8121001c A..P.!...!...!.. + 1d6c 9121000c 48000024 8141000c 392a0001 .!..H..$.A..9*.. + 1d7c 9121000c 81210008 39090001 91010008 .!...!..9....... + 1d8c 894a0000 99490000 81210020 3949ffff .J...I...!. 9I.. + 1d9c 91410020 2c090000 4082ffd0 48000064 .A. ,...@...H..d + 1dac 81410018 81210020 7d2a4a14 91210008 .A...!. }*J..!.. + 1dbc 8141001c 81210020 7d2a4a14 9121000c .A...!. }*J..!.. + 1dcc 4800002c 8121000c 3929ffff 9121000c H..,.!..9)...!.. + 1ddc 81210008 3929ffff 91210008 8121000c .!..9)...!...!.. + 1dec 89490000 81210008 99490000 81210020 .I...!...I...!. + 1dfc 3949ffff 91410020 2c090000 4082ffc8 9I...A. ,...@... + 1e0c 81210018 7d234b78 38210030 4e800020 .!..}#Kx8!.0N.. + 1e1c 9421ffe0 7c0802a6 90010024 90610018 .!..|......$.a.. + 1e2c 9081001c 8061001c 4bfffc51 7c691b78 .....a..K..Q|i.x + 1e3c 9121000c 8121000c 2c090000 4082000c .!...!..,...@... + 1e4c 81210018 48000068 80610018 4bfffc2d .!..H..h.a..K..- + 1e5c 7c691b78 91210008 48000040 81210008 |i.x.!..H..@.!.. + 1e6c 3929ffff 91210008 80a1000c 8081001c 9)...!.......... + 1e7c 80610018 4bfffd65 7c691b78 2c090000 .a..K..e|i.x,... + 1e8c 4082000c 81210018 48000024 81210018 @....!..H..$.!.. + 1e9c 39290001 91210018 81410008 8121000c 9)...!...A...!.. + 1eac 7c0a4840 4080ffb8 39200000 7d234b78 |.H@@...9 ..}#Kx + 1ebc 80010024 7c0803a6 38210020 4e800020 ...$|...8!. N.. + 1ecc 9421ffd0 90610018 9081001c 90a10020 .!...a......... + 1edc 81210018 91210008 48000030 8121001c .!...!..H..0.!.. + 1eec 552a063e 81210008 39090001 91010008 U*.>.!..9....... + 1efc 89290000 7c0a4840 40820010 81210008 .)..|.H@@....!.. + 1f0c 3929ffff 4800001c 81210020 3949ffff 9)..H....!. 9I.. + 1f1c 91410020 2c090000 4082ffc4 39200000 .A. ,...@...9 .. + 1f2c 7d234b78 38210030 4e800020 9421ffd0 }#Kx8!.0N.. .!.. + 1f3c 7c0802a6 90010034 90610018 9081001c |......4.a...... + 1f4c 90a10020 39200000 91210008 81210020 ... 9 ...!...!. + 1f5c 2c090000 4082008c 3920000a 91210020 ,...@...9 ...!. + 1f6c 81210018 89290000 28090030 408200e4 .!...)..(..0@... + 1f7c 39200008 91210020 81210018 39290001 9 ...!. .!..9).. + 1f8c 91210018 81210018 89290000 7d234b78 .!...!...)..}#Kx + 1f9c 4bfff541 7c691b78 28090058 408200b4 K..A|i.x(..X@... + 1fac 81210018 39290001 89290000 7d2a4b78 .!..9)...)..}*Kx + 1fbc 3d200000 39290904 7d2950ae 71290044 = ..9)..})P.q).D + 1fcc 2c090000 4182008c 81210018 39290001 ,...A....!..9).. + 1fdc 91210018 39200010 91210020 48000074 .!..9 ...!. H..t + 1fec 81210020 2c090010 40820068 81210018 .!. ,...@..h.!.. + 1ffc 89290000 28090030 40820058 81210018 .)..(..0@..X.!.. + 200c 39290001 89290000 7d234b78 4bfff4c5 9)...)..}#KxK... + 201c 7c691b78 28090058 40820038 81210018 |i.x(..X@..8.!.. + 202c 39290002 91210018 48000028 81410008 9)...!..H..(.A.. + 203c 81210020 7d2a49d6 8141000c 7d2a4a14 .!. }*I..A..}*J. + 204c 91210008 81210018 39290001 91210018 .!...!..9)...!.. + 205c 81210018 89290000 7d2a4b78 3d200000 .!...)..}*Kx= .. + 206c 39290904 7d2950ae 71290044 2c090000 9)..})P.q).D,... + 207c 41820064 81210018 89290000 7d2a4b78 A..d.!...)..}*Kx + 208c 3d200000 39290904 7d2950ae 5529077a = ..9)..})P.U).z + 209c 2c090000 41820014 81210018 89290000 ,...A....!...).. + 20ac 3929ffd0 4800001c 81210018 89290000 9)..H....!...).. + 20bc 7d234b78 4bfff41d 7c691b78 3929ffc9 }#KxK...|i.x9).. + 20cc 9121000c 8141000c 81210020 7c0a4840 .!...A...!. |.H@ + 20dc 4180ff5c 8121001c 2c090000 41820010 A..\.!..,...A... + 20ec 8121001c 81410018 91490000 81210008 .!...A...I...!.. + 20fc 7d234b78 80010034 7c0803a6 38210030 }#Kx...4|...8!.0 + 210c 4e800020 9421ffe0 7c0802a6 90010024 N.. .!..|......$ + 211c 90610008 9081000c 90a10010 81210008 .a...........!.. + 212c 89290000 2809002d 4082002c 81210008 .)..(..-@..,.!.. + 213c 39290001 81410010 7d455378 8081000c 9)...A..}ESx.... + 214c 7d234b78 4bfffde9 7c691b78 7d2900d0 }#KxK...|i.x}).. + 215c 4800001c 81210010 7d254b78 8081000c H....!..}%Kx.... + 216c 80610008 4bfffdc9 7c691b78 7d234b78 .a..K...|i.x}#Kx + 217c 80010024 7c0803a6 38210020 4e800020 ...$|...8!. N.. + 218c 9421ffe0 90610018 39200000 91210008 .!...a..9 ...!.. + 219c 48000030 81210008 1d49000a 81210018 H..0.!...I...!.. + 21ac 81290000 38e90001 81010018 90e80000 .)..8........... + 21bc 89290000 7d2a4a14 3929ffd0 91210008 .)..}*J.9)...!.. + 21cc 81210018 81290000 89290000 7d2a4b78 .!...)...)..}*Kx + 21dc 3d200000 39290904 7d2950ae 5529077a = ..9)..})P.U).z + 21ec 2c090000 4082ffb0 81210008 7d234b78 ,...@....!..}#Kx + 21fc 38210020 4e800020 9421ff70 90610068 8!. N.. .!.p.a.h + 220c 9081006c 90a10070 90c10074 90e10078 ...l...p...t...x + 221c 9101007c 91210080 81210080 55290672 ...|.!...!..U).r + 222c 2c090000 41820010 3d200000 39290a10 ,...A...= ..9).. + 223c 4800000c 3d200000 39290a38 91210010 H...= ..9).8.!.. + 224c 81210080 552906f6 2c090000 41820010 .!..U)..,...A... + 225c 81210080 5529003c 91210080 81210074 .!..U).<.!...!.t + 226c 2c090001 40810010 81210074 2c090024 ,...@....!.t,..$ + 227c 4081000c 39200000 480003fc 81210080 @...9 ..H....!.. + 228c 552907fe 2c090000 4182000c 39200030 U)..,...A...9 .0 + 229c 48000008 39200020 99210014 39200000 H...9 . .!..9 .. + 22ac 99210008 81210080 552907bc 2c090000 .!...!..U)..,... + 22bc 41820080 81210070 2c090000 40800028 A....!.p,...@..( + 22cc 3920002d 99210008 81210070 7d2900d0 9 .-.!...!.p}).. + 22dc 91210070 81210078 3929ffff 91210078 .!.p.!.x9)...!.x + 22ec 48000050 81210080 5529077a 2c090000 H..P.!..U).z,... + 22fc 4182001c 3920002b 99210008 81210078 A...9 .+.!...!.x + 230c 3929ffff 91210078 48000028 81210080 9)...!.xH..(.!.. + 231c 55290738 2c090000 41820018 39200020 U).8,...A...9 . + 232c 99210008 81210078 3929ffff 91210078 .!...!.x9)...!.x + 233c 81210080 552906b4 2c090000 41820038 .!..U)..,...A..8 + 234c 81210074 2c090010 40820014 81210078 .!.t,...@....!.x + 235c 3929fffe 91210078 4800001c 81210074 9)...!.xH....!.t + 236c 2c090008 40820010 81210078 3929ffff ,...@....!.x9).. + 237c 91210078 39200000 9121000c 81210070 .!.x9 ...!...!.p + 238c 2c090000 4082006c 8121000c 39490001 ,...@..l.!..9I.. + 239c 9141000c 39410008 7d2a4a14 39400030 .A..9A..}*J.9@.0 + 23ac 99490010 48000058 81410074 81210070 .I..H..X.A.t.!.p + 23bc 7d095396 7d4851d6 7d2a4850 81410010 }.S.}HQ.}*HP.A.. + 23cc 7d4a4a14 8121000c 39090001 9101000c }JJ..!..9....... + 23dc 894a0000 39010008 7d284a14 99490010 .J..9...}(J..I.. + 23ec 81210074 81410070 7d2a4b96 91210070 .!.t.A.p}*K..!.p + 23fc 81210070 2c090000 4082ffb0 8141000c .!.p,...@....A.. + 240c 8121007c 7c0a4800 4081000c 8121000c .!.||.H.@....!.. + 241c 9121007c 81410078 8121007c 7d295050 .!.|.A.x.!.|})PP + 242c 91210078 81210080 71290011 2c090000 .!.x.!..q)..,... + 243c 40820044 4800002c 81410068 8121006c @..DH..,.A.h.!.l + 244c 7c0a4840 40800010 81210068 39400020 |.H@@....!.h9@. + 245c 99490000 81210068 39290001 91210068 .I...!.h9)...!.h + 246c 81210078 3949ffff 91410078 2c090000 .!.x9I...A.x,... + 247c 4181ffc8 89210008 2c090000 4182002c A....!..,...A.., + 248c 81410068 8121006c 7c0a4840 40800010 .A.h.!.l|.H@@... + 249c 81210068 89410008 99490000 81210068 .!.h.A...I...!.h + 24ac 39290001 91210068 81210080 552906b4 9)...!.h.!..U).. + 24bc 2c090000 4182009c 81210074 2c090008 ,...A....!.t,... + 24cc 40820030 81410068 8121006c 7c0a4840 @..0.A.h.!.l|.H@ + 24dc 40800010 81210068 39400030 99490000 @....!.h9@.0.I.. + 24ec 81210068 39290001 91210068 48000064 .!.h9)...!.hH..d + 24fc 81210074 2c090010 40820058 81410068 .!.t,...@..X.A.h + 250c 8121006c 7c0a4840 40800010 81210068 .!.l|.H@@....!.h + 251c 39400030 99490000 81210068 39290001 9@.0.I...!.h9).. + 252c 91210068 81410068 8121006c 7c0a4840 .!.h.A.h.!.l|.H@ + 253c 40800014 81210010 89490021 81210068 @....!...I.!.!.h + 254c 99490000 81210068 39290001 91210068 .I...!.h9)...!.h + 255c 81210080 552906f6 2c090000 40820070 .!..U)..,...@..p + 256c 4800002c 81410068 8121006c 7c0a4840 H..,.A.h.!.l|.H@ + 257c 40800010 81210068 89410014 99490000 @....!.h.A...I.. + 258c 81210068 39290001 91210068 81210078 .!.h9)...!.h.!.x + 259c 3949ffff 91410078 2c090000 4181ffc8 9I...A.x,...A... + 25ac 4800002c 81410068 8121006c 7c0a4840 H..,.A.h.!.l|.H@ + 25bc 40800010 81210068 39400030 99490000 @....!.h9@.0.I.. + 25cc 81210068 39290001 91210068 8121007c .!.h9)...!.h.!.| + 25dc 3949ffff 9141007c 8141000c 7c0a4800 9I...A.|.A..|.H. + 25ec 4180ffc4 48000038 81410068 8121006c A...H..8.A.h.!.l + 25fc 7c0a4840 4080001c 39410018 8121000c |.H@@...9A...!.. + 260c 7d2a4a14 89490000 81210068 99490000 }*J..I...!.h.I.. + 261c 81210068 39290001 91210068 8121000c .!.h9)...!.h.!.. + 262c 3949ffff 9141000c 2c090000 4181ffbc 9I...A..,...A... + 263c 4800002c 81410068 8121006c 7c0a4840 H..,.A.h.!.l|.H@ + 264c 40800010 81210068 39400020 99490000 @....!.h9@. .I.. + 265c 81210068 39290001 91210068 81210078 .!.h9)...!.h.!.x + 266c 3949ffff 91410078 2c090000 4181ffc8 9I...A.x,...A... + 267c 81210068 7d234b78 38210090 4e800020 .!.h}#Kx8!..N.. + 268c 9421ffd0 7c0802a6 90010034 90610018 .!..|......4.a.. + 269c 9081001c 90a10020 90c10024 80c10024 ....... ...$...$ + 26ac 80a10020 8081001c 80610018 4800044d ... .....a..H..M + 26bc 7c691b78 91210008 81410008 8121001c |i.x.!...A...!.. + 26cc 7c0a4840 41800010 8121001c 3929ffff |.H@A....!..9).. + 26dc 48000008 81210008 7d234b78 80010034 H....!..}#Kx...4 + 26ec 7c0803a6 38210030 4e800020 9421ff80 |...8!.0N.. .!.. + 26fc 7c0802a6 90010084 90610018 9081001c |........a...... + 270c 90a10020 90c1002c 90e10030 91010034 ... ...,...0...4 + 271c 91210038 9141003c 40860024 d8210040 .!.8.A.<@..$.!.@ + 272c d8410048 d8610050 d8810058 d8a10060 .A.H.a.P...X...` + 273c d8c10068 d8e10070 d9010078 39200003 ...h...p...x9 .. + 274c 9921000c 39200000 9921000d 39210088 .!..9 ...!..9!.. + 275c 91210010 39210020 91210014 3921000c .!..9!. .!..9!.. + 276c 7d264b78 80a10020 8081001c 80610018 }&Kx... .....a.. + 277c 48000389 7c691b78 91210008 81210008 H...|i.x.!...!.. + 278c 7d234b78 80010084 7c0803a6 38210080 }#Kx....|...8!.. + 279c 4e800020 9421ff80 7c0802a6 90010084 N.. .!..|....... + 27ac 90610018 9081001c 90a10020 90c1002c .a......... ..., + 27bc 90e10030 91010034 91210038 9141003c ...0...4.!.8.A.< + 27cc 40860024 d8210040 d8410048 d8610050 @..$.!.@.A.H.a.P + 27dc d8810058 d8a10060 d8c10068 d8e10070 ...X...`...h...p + 27ec d9010078 39200003 9921000c 39200000 ...x9 ...!..9 .. + 27fc 9921000d 39210088 91210010 39210020 .!..9!...!..9!. + 280c 91210014 3921000c 7d264b78 80a10020 .!..9!..}&Kx... + 281c 8081001c 80610018 480002e1 7c691b78 .....a..H...|i.x + 282c 91210008 81410008 8121001c 7c0a4840 .!...A...!..|.H@ + 283c 41800010 8121001c 3929ffff 48000008 A....!..9)..H... + 284c 81210008 7d234b78 80010084 7c0803a6 .!..}#Kx....|... + 285c 38210080 4e800020 9421ffe0 7c0802a6 8!..N.. .!..|... + 286c 90010024 90610008 9081000c 90a10010 ...$.a.......... + 287c 80c10010 80a1000c 3d207fff 6124ffff ........= ..a$.. + 288c 80610008 48000275 7c691b78 7d234b78 .a..H..u|i.x}#Kx + 289c 80010024 7c0803a6 38210020 4e800020 ...$|...8!. N.. + 28ac 9421ff80 7c0802a6 90010084 90610018 .!..|........a.. + 28bc 9081001c 90a10020 90c10024 90e10028 ....... ...$...( + 28cc 9101002c 91210030 91410034 40860024 ...,.!.0.A.4@..$ + 28dc d8210038 d8410040 d8610048 d8810050 .!.8.A.@.a.H...P + 28ec d8a10058 d8c10060 d8e10068 d9010070 ...X...`...h...p + 28fc 39200002 9921000c 39200000 9921000d 9 ...!..9 ...!.. + 290c 39210088 91210010 39210018 91210014 9!...!..9!...!.. + 291c 3921000c 7d264b78 80a1001c 3d207fff 9!..}&Kx....= .. + 292c 6124ffff 80610018 480001d1 7c691b78 a$...a..H...|i.x + 293c 91210008 81210008 7d234b78 80010084 .!...!..}#Kx.... + 294c 7c0803a6 38210080 4e800020 3d200002 |...8!..N.. = .. + 295c 8129e014 1d290081 3d293619 394962e9 .)...)..=)6.9Ib. + 296c 3d200002 9149e014 3d200002 8129e014 = ...I..= ...).. + 297c 7d234b78 4e800020 9421fff0 90610008 }#KxN.. .!...a.. + 298c 3d200002 81410008 9149e014 60000000 = ...A...I..`... + 299c 38210010 4e800020 9421fff0 7c0802a6 8!..N.. .!..|... + 29ac 90010014 3d200000 38690a04 4cc63182 ....= ..8i..L.1. + 29bc 4800111d 48000000 9421ffe0 7c0802a6 H...H....!..|... + 29cc 90010024 90610018 39200001 91210008 ...$.a..9 ...!.. + 29dc 89210008 2c090000 41820014 80610018 .!..,...A....a.. + 29ec 4bffeb75 7c691b78 48000008 81210018 K..u|i.xH....!.. + 29fc 7d234b78 80010024 7c0803a6 38210020 }#Kx...$|...8!. + 2a0c 4e800020 9421ffe0 7c0802a6 90010024 N.. .!..|......$ + 2a1c 7c691b78 b1210018 39200001 91210008 |i.x.!..9 ...!.. + 2a2c 89210008 2c090000 41820018 a1210018 .!..,...A....!.. + 2a3c 7d234b78 4bffeae1 7c691b78 48000008 }#KxK...|i.xH... + 2a4c a1210018 7d234b78 80010024 7c0803a6 .!..}#Kx...$|... + 2a5c 38210020 4e800020 9421ffe0 7c0802a6 8!. N.. .!..|... + 2a6c 90010024 90610018 39200001 91210008 ...$.a..9 ...!.. + 2a7c 89210008 2c090000 41820014 80610018 .!..,...A....a.. + 2a8c 4bffead5 7c691b78 48000008 81210018 K...|i.xH....!.. + 2a9c 7d234b78 80010024 7c0803a6 38210020 }#Kx...$|...8!. + 2aac 4e800020 9421ffe0 7c0802a6 90010024 N.. .!..|......$ + 2abc 7c691b78 b1210018 39200001 91210008 |i.x.!..9 ...!.. + 2acc 89210008 2c090000 41820018 a1210018 .!..,...A....!.. + 2adc 7d234b78 4bffea41 7c691b78 48000008 }#KxK..A|i.xH... + 2aec a1210018 7d234b78 80010024 7c0803a6 .!..}#Kx...$|... + 2afc 38210020 4e800020 9421ffa0 7c0802a6 8!. N.. .!..|... + 2b0c 90010064 90610048 9081004c 90a10050 ...d.a.H...L...P + 2b1c 90c10054 8121004c 2c090000 4080000c ...T.!.L,...@... + 2b2c 39200000 48000d3c 81210048 91210018 9 ..H..<.!.H.!.. + 2b3c 81410048 8121004c 7d2a4a14 9121001c .A.H.!.L}*J..!.. + 2b4c 8141001c 81210048 7c0a4840 40800cbc .A...!.H|.H@@... + 2b5c 3920ffff 9121001c 8141001c 81210048 9 ...!...A...!.H + 2b6c 7d295050 9121004c 48000ca0 81210050 })PP.!.LH....!.P + 2b7c 89290000 28090025 41820034 81410018 .)..(..%A..4.A.. + 2b8c 8121001c 7c0a4840 40800014 81210050 .!..|.H@@....!.P + 2b9c 89490000 81210018 99490000 81210018 .I...!...I...!.. + 2bac 39290001 91210018 48000c54 39200000 9)...!..H..T9 .. + 2bbc 91210024 81210050 39290001 91210050 .!.$.!.P9)...!.P + 2bcc 81210050 89290000 3929ffe0 28090010 .!.P.)..9)..(... + 2bdc 4181007c 552a103a 3d200000 39290a68 A..|U*.:= ..9).h + 2bec 7d2a4a14 81490000 3d200000 39290a68 }*J..I..= ..9).h + 2bfc 7d2a4a14 7d2903a6 4e800420 81210024 }*J.})..N.. .!.$ + 2c0c 61290010 91210024 4bffffac 81210024 a)...!.$K....!.$ + 2c1c 61290004 91210024 4bffff9c 81210024 a)...!.$K....!.$ + 2c2c 61290008 91210024 4bffff8c 81210024 a)...!.$K....!.$ + 2c3c 61290020 91210024 4bffff7c 81210024 a). .!.$K..|.!.$ + 2c4c 61290001 91210024 4bffff6c 3920ffff a)...!.$K..l9 .. + 2c5c 91210028 81210050 89290000 7d2a4b78 .!.(.!.P.)..}*Kx + 2c6c 3d200000 39290904 7d2950ae 5529077a = ..9)..})P.U).z + 2c7c 2c090000 4182001c 39210050 7d234b78 ,...A...9!.P}#Kx + 2c8c 4bfff501 7c691b78 91210028 4800009c K...|i.x.!.(H... + 2c9c 81210050 89290000 2809002a 4082008c .!.P.)..(..*@... + 2cac 81210050 39290001 91210050 81210054 .!.P9)...!.P.!.T + 2cbc 89290000 28090007 41810030 81210054 .)..(...A..0.!.T + 2ccc 81490008 81210054 89290000 39090001 .I...!.T.)..9... + 2cdc 5507063e 81010054 98e80000 5529103a U..>...T....U).: + 2cec 7d2a4a14 48000018 81210054 81290004 }*J.H....!.T.).. + 2cfc 39090004 81410054 910a0004 81290000 9....A.T.....).. + 2d0c 91210028 81210028 2c090000 4080001c .!.(.!.(,...@... + 2d1c 81210028 7d2900d0 91210028 81210024 .!.(})...!.(.!.$ + 2d2c 61290010 91210024 3920ffff 9121002c a)...!.$9 ...!., + 2d3c 81210050 89290000 2809002e 408200d4 .!.P.)..(...@... + 2d4c 81210050 39290001 91210050 81210050 .!.P9)...!.P.!.P + 2d5c 89290000 7d2a4b78 3d200000 39290904 .)..}*Kx= ..9).. + 2d6c 7d2950ae 5529077a 2c090000 4182001c })P.U).z,...A... + 2d7c 39210050 7d234b78 4bfff409 7c691b78 9!.P}#KxK...|i.x + 2d8c 9121002c 48000078 81210050 89290000 .!.,H..x.!.P.).. + 2d9c 2809002a 40820068 81210050 39290001 (..*@..h.!.P9).. + 2dac 91210050 81210054 89290000 28090007 .!.P.!.T.)..(... + 2dbc 41810030 81210054 81490008 81210054 A..0.!.T.I...!.T + 2dcc 89290000 39090001 5507063e 81010054 .)..9...U..>...T + 2ddc 98e80000 5529103a 7d2a4a14 48000018 ....U).:}*J.H... + 2dec 81210054 81290004 39090004 81410054 .!.T.)..9....A.T + 2dfc 910a0004 81290000 9121002c 8121002c .....)...!.,.!., + 2e0c 2c090000 4080000c 39200000 9121002c ,...@...9 ...!., + 2e1c 3920ffff 91210030 81210050 89290000 9 ...!.0.!.P.).. + 2e2c 28090068 41820054 81210050 89290000 (..hA..T.!.P.).. + 2e3c 2809006c 41820044 81210050 89290000 (..lA..D.!.P.).. + 2e4c 2809004c 41820034 81210050 89290000 (..LA..4.!.P.).. + 2e5c 2809005a 41820024 81210050 89290000 (..ZA..$.!.P.).. + 2e6c 2809007a 41820014 81210050 89290000 (..zA....!.P.).. + 2e7c 28090074 4082004c 81210050 89290000 (..t@..L.!.P.).. + 2e8c 91210030 81210050 39290001 91210050 .!.0.!.P9)...!.P + 2e9c 81210030 2c09006c 40820028 81210050 .!.0,..l@..(.!.P + 2eac 89290000 2809006c 40820018 3920004c .)..(..l@...9 .L + 2ebc 91210030 81210050 39290001 91210050 .!.0.!.P9)...!.P + 2ecc 3920000a 91210014 81210050 89290000 9 ...!...!.P.).. + 2edc 3929ffdb 28090053 41810550 552a103a 9)..(..SA..PU*.: + 2eec 3d200000 39290aac 7d2a4a14 81490000 = ..9)..}*J..I.. + 2efc 3d200000 39290aac 7d2a4a14 7d2903a6 = ..9)..}*J.}).. + 2f0c 4e800420 81210024 552906f6 2c090000 N.. .!.$U)..,... + 2f1c 40820048 4800002c 81410018 8121001c @..HH..,.A...!.. + 2f2c 7c0a4840 40800010 81210018 39400020 |.H@@....!..9@. + 2f3c 99490000 81210018 39290001 91210018 .I...!..9)...!.. + 2f4c 81210028 3929ffff 91210028 81210028 .!.(9)...!.(.!.( + 2f5c 2c090000 4181ffc4 81210054 89290000 ,...A....!.T.).. + 2f6c 28090007 41810030 81210054 81490008 (...A..0.!.T.I.. + 2f7c 81210054 89290000 39090001 5507063e .!.T.)..9...U..> + 2f8c 81010054 98e80000 5529103a 7d2a4a14 ...T....U).:}*J. + 2f9c 48000018 81210054 81290004 39090004 H....!.T.)..9... + 2fac 81410054 910a0004 81290000 99210044 .A.T.....)...!.D + 2fbc 81410018 8121001c 7c0a4840 40800010 .A...!..|.H@@... + 2fcc 81210018 89410044 99490000 81210018 .!...A.D.I...!.. + 2fdc 39290001 91210018 4800002c 81410018 9)...!..H..,.A.. + 2fec 8121001c 7c0a4840 40800010 81210018 .!..|.H@@....!.. + 2ffc 39400020 99490000 81210018 39290001 9@. .I...!..9).. + 300c 91210018 81210028 3929ffff 91210028 .!...!.(9)...!.( + 301c 81210028 2c090000 4181ffc4 480007e0 .!.(,...A...H... + 302c 81210054 89290000 28090007 41810030 .!.T.)..(...A..0 + 303c 81210054 81490008 81210054 89290000 .!.T.I...!.T.).. + 304c 39090001 5507063e 81010054 98e80000 9...U..>...T.... + 305c 5529103a 7d2a4a14 48000018 81210054 U).:}*J.H....!.T + 306c 81290004 39090004 81410054 910a0004 .)..9....A.T.... + 307c 81290000 91210020 81210020 2c090000 .)...!. .!. ,... + 308c 40820010 3d200000 39290a60 91210020 @...= ..9).`.!. + 309c 8121002c 7d244b78 80610020 4bffea25 .!.,}$Kx.a. K..% + 30ac 7c691b78 91210034 81210024 552906f6 |i.x.!.4.!.$U).. + 30bc 2c090000 40820048 4800002c 81410018 ,...@..HH..,.A.. + 30cc 8121001c 7c0a4840 40800010 81210018 .!..|.H@@....!.. + 30dc 39400020 99490000 81210018 39290001 9@. .I...!..9).. + 30ec 91210018 81210028 3949ffff 91410028 .!...!.(9I...A.( + 30fc 81410034 7c0a4800 4180ffc4 39200000 .A.4|.H.A...9 .. + 310c 91210010 48000048 81410018 8121001c .!..H..H.A...!.. + 311c 7c0a4840 40800014 81210020 89490000 |.H@@....!. .I.. + 312c 81210018 99490000 81210018 39290001 .!...I...!..9).. + 313c 91210018 81210020 39290001 91210020 .!...!. 9)...!. + 314c 81210010 39290001 91210010 81410010 .!..9)...!...A.. + 315c 81210034 7c0a4800 4180ffb0 4800002c .!.4|.H.A...H.., + 316c 81410018 8121001c 7c0a4840 40800010 .A...!..|.H@@... + 317c 81210018 39400020 99490000 81210018 .!..9@. .I...!.. + 318c 39290001 91210018 81210028 3949ffff 9)...!...!.(9I.. + 319c 91410028 81410034 7c0a4800 4180ffc4 .A.(.A.4|.H.A... + 31ac 4800065c 81410028 3920ffff 7c0a4800 H..\.A.(9 ..|.H. + 31bc 40820018 39200008 91210028 81210024 @...9 ...!.(.!.$ + 31cc 61290021 91210024 81210054 89290000 a).!.!.$.!.T.).. + 31dc 28090007 41810030 81210054 81490008 (...A..0.!.T.I.. + 31ec 81210054 89290000 39090001 5507063e .!.T.)..9...U..> + 31fc 81010054 98e80000 5529103a 7d2a4a14 ...T....U).:}*J. + 320c 48000018 81210054 81290004 39090004 H....!.T.)..9... + 321c 81410054 910a0004 81290000 7d2a4b78 .A.T.....)..}*Kx + 322c 81210024 8101002c 80e10028 38c00010 .!.$...,...(8... + 323c 7d455378 8081001c 80610018 4bffefbd }ESx.....a..K... + 324c 7c691b78 91210018 480005b4 81210030 |i.x.!..H....!.0 + 325c 2c09006c 40820074 81210054 89290000 ,..l@..t.!.T.).. + 326c 28090007 41810030 81210054 81490008 (...A..0.!.T.I.. + 327c 81210054 89290000 39090001 5507063e .!.T.)..9...U..> + 328c 81010054 98e80000 5529103a 7d2a4a14 ...T....U).:}*J. + 329c 48000018 81210054 81290004 39090004 H....!.T.)..9... + 32ac 81410054 910a0004 81290000 91210040 .A.T.....)...!.@ + 32bc 81410018 81210048 7d495050 81210040 .A...!.H}IPP.!.@ + 32cc 91490000 48000538 81210030 2c09005a .I..H..8.!.0,..Z + 32dc 41820010 81210030 2c09007a 4082007c A....!.0,..z@..| + 32ec 81210054 89290000 28090007 41810030 .!.T.)..(...A..0 + 32fc 81210054 81490008 81210054 89290000 .!.T.I...!.T.).. + 330c 39090001 5507063e 81010054 98e80000 9...U..>...T.... + 331c 5529103a 7d2a4a14 48000018 81210054 U).:}*J.H....!.T + 332c 81290004 39090004 81410054 910a0004 .)..9....A.T.... + 333c 81290000 9121003c 81410018 81210048 .)...!.<.A...!.H + 334c 7d295050 7d2a4b78 8121003c 91490000 })PP}*Kx.!.<.I.. + 335c 60000000 480004a8 81210054 89290000 `...H....!.T.).. + 336c 28090007 41810030 81210054 81490008 (...A..0.!.T.I.. + 337c 81210054 89290000 39090001 5507063e .!.T.)..9...U..> + 338c 81010054 98e80000 5529103a 7d2a4a14 ...T....U).:}*J. + 339c 48000018 81210054 81290004 39090004 H....!.T.)..9... + 33ac 81410054 910a0004 81290000 91210038 .A.T.....)...!.8 + 33bc 81410018 81210048 7d495050 81210038 .A...!.H}IPP.!.8 + 33cc 91490000 48000438 81410018 8121001c .I..H..8.A...!.. + 33dc 7c0a4840 40800010 81210018 39400025 |.H@@....!..9@.% + 33ec 99490000 81210018 39290001 91210018 .I...!..9)...!.. + 33fc 4800040c 39200008 91210014 480000a8 H...9 ...!..H... + 340c 81210024 61290040 91210024 39200010 .!.$a).@.!.$9 .. + 341c 91210014 48000090 81210024 61290002 .!..H....!.$a).. + 342c 91210024 4800007c 81410018 8121001c .!.$H..|.A...!.. + 343c 7c0a4840 40800010 81210018 39400025 |.H@@....!..9@.% + 344c 99490000 81210018 39290001 91210018 .I...!..9)...!.. + 345c 81210050 89290000 2c090000 41820034 .!.P.)..,...A..4 + 346c 81410018 8121001c 7c0a4840 40800014 .A...!..|.H@@... + 347c 81210050 89490000 81210018 99490000 .!.P.I...!...I.. + 348c 81210018 39290001 91210018 48000370 .!..9)...!..H..p + 349c 81210050 3929ffff 91210050 48000360 .!.P9)...!.PH..` + 34ac 60000000 81210030 2c09004c 40820090 `....!.0,..L@... + 34bc 81210054 89290000 81410054 894a0000 .!.T.)...A.T.J.. + 34cc 554a07fe 554a063e 7d4a4a14 5548063e UJ..UJ.>}JJ.UH.> + 34dc 81410054 990a0000 28090006 41810030 .A.T....(...A..0 + 34ec 81210054 81490008 81210054 89290000 .!.T.I...!.T.).. + 34fc 39090002 5507063e 81010054 98e80000 9...U..>...T.... + 350c 5529103a 7d2a4a14 48000020 81210054 U).:}*J.H.. .!.T + 351c 81290004 39290007 55290038 39090008 .)..9)..U).89... + 352c 81410054 910a0004 81490000 81690004 .A.T.....I...i.. + 353c 91410008 9161000c 48000298 81210030 .A...a..H....!.0 + 354c 2c09006c 40820088 81210054 89290000 ,..l@....!.T.).. + 355c 28090007 41810030 81210054 81490008 (...A..0.!.T.I.. + 356c 81210054 89290000 39090001 5507063e .!.T.)..9...U..> + 357c 81010054 98e80000 5529103a 7d2a4a14 ...T....U).:}*J. + 358c 48000018 81210054 81290004 39090004 H....!.T.)..9... + 359c 81410054 910a0004 81290000 9121000c .A.T.....)...!.. + 35ac 39200000 91210008 81210024 552907bc 9 ...!...!.$U).. + 35bc 2c090000 4182021c 8121000c 9121000c ,...A....!...!.. + 35cc 7d29fe70 91210008 48000208 81210030 }).p.!..H....!.0 + 35dc 2c09005a 41820010 81210030 2c09007a ,..ZA....!.0,..z + 35ec 40820068 81210054 89290000 28090007 @..h.!.T.)..(... + 35fc 41810030 81210054 81490008 81210054 A..0.!.T.I...!.T + 360c 89290000 39090001 5507063e 81010054 .)..9...U..>...T + 361c 98e80000 5529103a 7d2a4a14 48000018 ....U).:}*J.H... + 362c 81210054 81290004 39090004 81410054 .!.T.)..9....A.T + 363c 910a0004 81290000 9121000c 39200000 .....)...!..9 .. + 364c 91210008 4800018c 81210030 2c090074 .!..H....!.0,..t + 365c 40820068 81210054 89290000 28090007 @..h.!.T.)..(... + 366c 41810030 81210054 81490008 81210054 A..0.!.T.I...!.T + 367c 89290000 39090001 5507063e 81010054 .)..9...U..>...T + 368c 98e80000 5529103a 7d2a4a14 48000018 ....U).:}*J.H... + 369c 81210054 81290004 39090004 81410054 .!.T.)..9....A.T + 36ac 910a0004 81290000 9121000c 7d29fe70 .....)...!..}).p + 36bc 91210008 4800011c 81210030 2c090068 .!..H....!.0,..h + 36cc 40820090 81210054 89290000 28090007 @....!.T.)..(... + 36dc 41810030 81210054 81490008 81210054 A..0.!.T.I...!.T + 36ec 89290000 39090001 5507063e 81010054 .)..9...U..>...T + 36fc 98e80000 5529103a 7d2a4a14 48000018 ....U).:}*J.H... + 370c 81210054 81290004 39090004 81410054 .!.T.)..9....A.T + 371c 910a0004 81290000 5529043e 9121000c .....)..U).>.!.. + 372c 39200000 91210008 81210024 552907bc 9 ...!...!.$U).. + 373c 2c090000 4182009c a121000e 7d290734 ,...A....!..}).4 + 374c 9121000c 7d29fe70 91210008 48000084 .!..}).p.!..H... + 375c 81210054 89290000 28090007 41810030 .!.T.)..(...A..0 + 376c 81210054 81490008 81210054 89290000 .!.T.I...!.T.).. + 377c 39090001 5507063e 81010054 98e80000 9...U..>...T.... + 378c 5529103a 7d2a4a14 48000018 81210054 U).:}*J.H....!.T + 379c 81290004 39090004 81410054 910a0004 .)..9....A.T.... + 37ac 81290000 9121000c 39200000 91210008 .)...!..9 ...!.. + 37bc 81210024 552907bc 2c090000 41820014 .!.$U)..,...A... + 37cc 8121000c 9121000c 7d29fe70 91210008 .!...!..}).p.!.. + 37dc 8141000c 81210024 8101002c 80e10028 .A...!.$...,...( + 37ec 80c10014 7d455378 8081001c 80610018 ....}ESx.....a.. + 37fc 4bffea09 7c691b78 91210018 81210050 K...|i.x.!...!.P + 380c 39290001 91210050 81210050 89290000 9)...!.P.!.P.).. + 381c 2c090000 4082f358 8121004c 2c090000 ,...@..X.!.L,... + 382c 41820034 81410018 8121001c 7c0a4840 A..4.A...!..|.H@ + 383c 40800014 81210018 39400000 99490000 @....!..9@...I.. + 384c 48000014 8121001c 3929ffff 39400000 H....!..9)..9@.. + 385c 99490000 81410018 81210048 7d295050 .I...A...!.H})PP + 386c 7d234b78 80010064 7c0803a6 38210060 }#Kx...d|...8!.` + 387c 4e800020 9421fff0 90610008 3d200002 N.. .!...a..= .. + 388c 81410008 9149e018 60000000 38210010 .A...I..`...8!.. + 389c 4e800020 9421fff0 90610008 9081000c N.. .!...a...... + 38ac 3d200002 81410008 9149e01c 3d200002 = ...A...I..= .. + 38bc 8141000c 9149e020 60000000 38210010 .A...I. `...8!.. + 38cc 4e800020 9421fff0 7c0802a6 90010014 N.. .!..|....... + 38dc 90610008 3d200002 8129e018 2c090000 .a..= ...)..,... + 38ec 41820020 3d200002 8129e018 81410008 A.. = ...)...A.. + 38fc 554a063e 7d435378 7d2903a6 4e800421 UJ.>}CSx})..N..! + 390c 81210008 7d234b78 80010014 7c0803a6 .!..}#Kx....|... + 391c 38210010 4e800020 9421fff0 7c0802a6 8!..N.. .!..|... + 392c 90010014 3d200002 8129e020 2c090000 ....= ...). ,... + 393c 4182fff4 3d200002 8129e020 7d2903a6 A...= ...). }).. + 394c 4e800421 7c691b78 2c090000 4182ffd8 N..!|i.x,...A... + 395c 3d200002 8129e01c 7d2903a6 4e800421 = ...)..})..N..! + 396c 7c691b78 7d234b78 80010014 7c0803a6 |i.x}#Kx....|... + 397c 38210010 4e800020 9421fff0 7c0802a6 8!..N.. .!..|... + 398c 90010014 3d200002 8129e020 2c090000 ....= ...). ,... + 399c 41820028 3d200002 8129e020 7d2903a6 A..(= ...). }).. + 39ac 4e800421 7c691b78 2c090000 4182000c N..!|i.x,...A... + 39bc 39200001 48000008 39200000 7d234b78 9 ..H...9 ..}#Kx + 39cc 80010014 7c0803a6 38210010 4e800020 ....|...8!..N.. + 39dc 9421fff0 7c0802a6 90010014 90610008 .!..|........a.. + 39ec 80610008 48000025 3860000a 4bfffed9 .a..H..%8`..K... + 39fc 39200001 7d234b78 80010014 7c0803a6 9 ..}#Kx....|... + 3a0c 38210010 4e800020 9421fff0 7c0802a6 8!..N.. .!..|... + 3a1c 90010014 90610008 48000020 81210008 .....a..H.. .!.. + 3a2c 89290000 7d234b78 4bfffe9d 81210008 .)..}#KxK....!.. + 3a3c 39290001 91210008 81210008 89290000 9)...!...!...).. + 3a4c 2c090000 4082ffd8 60000000 60000000 ,...@...`...`... + 3a5c 80010014 7c0803a6 38210010 4e800020 ....|...8!..N.. + 3a6c 9421fee0 7c0802a6 90010124 90610118 .!..|......$.a.. + 3a7c 9081011c 3921000c 80c1011c 80a10118 ....9!.......... + 3a8c 38800100 7d234b78 4bffebf9 7c691b78 8...}#KxK...|i.x + 3a9c 91210008 3941000c 81210008 7d2a4a14 .!..9A...!..}*J. + 3aac 39400000 99490000 3921000c 7d234b78 9@...I..9!..}#Kx + 3abc 4bffff59 81210008 7d234b78 80010124 K..Y.!..}#Kx...$ + 3acc 7c0803a6 38210120 4e800020 9421ff80 |...8!. N.. .!.. + 3adc 7c0802a6 90010084 90610018 90810024 |........a.....$ + 3aec 90a10028 90c1002c 90e10030 91010034 ...(...,...0...4 + 3afc 91210038 9141003c 40860024 d8210040 .!.8.A.<@..$.!.@ + 3b0c d8410048 d8610050 d8810058 d8a10060 .A.H.a.P...X...` + 3b1c d8c10068 d8e10070 d9010078 39200001 ...h...p...x9 .. + 3b2c 9921000c 39200000 9921000d 39210088 .!..9 ...!..9!.. + 3b3c 91210010 39210020 91210014 3921000c .!..9!. .!..9!.. + 3b4c 7d244b78 80610018 4bffff19 7c691b78 }$Kx.a..K...|i.x + 3b5c 91210008 81210008 7d234b78 80010084 .!...!..}#Kx.... + 3b6c 7c0803a6 38210080 4e800020 |...8!..N..