// © IBM Corp. 2020 // Licensed under the Apache License, Version 2.0 (the "License"), as modified by // the terms below; you may not use the files in this repository except in // compliance with the License as modified. // You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 // // Modified Terms: // // 1) For the purpose of the patent license granted to you in Section 3 of the // License, the "Work" hereby includes implementations of the work of authorship // in physical form. // // 2) Notwithstanding any terms to the contrary in the License, any licenses // necessary for implementation of the Work that are available from OpenPOWER // via the Power ISA End User License Agreement (EULA) are explicitly excluded // hereunder, and may be obtained from OpenPOWER under the terms and conditions // of the EULA. // // Unless required by applicable law or agreed to in writing, the reference design // distributed under the License is distributed on an "AS IS" BASIS, WITHOUT // WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the License // for the specific language governing permissions and limitations under the License. // // Additional rights, including the ability to physically implement a softcore that // is compliant with the required sections of the Power ISA Specification, are // available at no cost under the terms of the OpenPOWER Power ISA EULA, which can be // obtained (along with the Power ISA) here: https://openpowerfoundation.org. `timescale 1 ns / 1 ns // *!**************************************************************** // *! FILENAME : tri_oai21.v // *! DESCRIPTION : OAI21 gate // *!**************************************************************** `include "tri_a2o.vh" module tri_oai21( y, a0, a1, b0 ); parameter WIDTH = 1; parameter BTR = "OAI21_X2M_NONE"; //Specify full BTR name, else let tool select output [0:WIDTH-1] y; input [0:WIDTH-1] a0; input [0:WIDTH-1] a1; input [0:WIDTH-1] b0; // tri_oai21 genvar i; wire [0:WIDTH-1] outA; generate for (i = 0; i < WIDTH; i = i + 1) begin : w or I0(outA[i], a0[i], a1[i]); nand I2(y[i], outA[i], b0[i]); end endgenerate endmodule