set_property SRC_FILE_INFO {cfile:/data/projects/a2o/dev/build/litex/build/cmod7/gateware/cmod7.xdc rfile:../cmod7.xdc id:1 order:EARLY} [current_design] set_property src_info {type:XDC file:1 line:5 export:INPUT save:INPUT read:READ} [current_design] set_property LOC J18 [get_ports {serial_tx}] set_property src_info {type:XDC file:1 line:9 export:INPUT save:INPUT read:READ} [current_design] set_property LOC J17 [get_ports {serial_rx}] set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design] set_property LOC L17 [get_ports {clk12}] set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] set_property LOC A17 [get_ports {user_led0}] set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design] set_property LOC C16 [get_ports {user_led1}] set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] set_property LOC A18 [get_ports {user_btn0}] set_property src_info {type:XDC file:1 line:29 export:INPUT save:INPUT read:READ} [current_design] set_property LOC B18 [get_ports {user_btn1}]