You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

17318 lines
1.1 MiB

make -f Makefile.node results.xml
make[1]: Entering directory '/data/projects/a2o/dev/sim/coco'
MODULE=tb_node TESTCASE= TOPLEVEL=cocotb_icarus_node TOPLEVEL_LANG=verilog \
/usr/local/bin/vvp -M /home/wtf/.local/lib/python3.8/site-packages/cocotb/libs -m libcocotbvpi_icarus build_node/sim.vvp
-.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:76 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter
-.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:99 in gpi_print_registered_impl VPI registered
0.00ns INFO Running on Icarus Verilog version 12.0 (devel)
0.00ns INFO Running tests with cocotb v1.7.0.dev0 from /home/wtf/.local/lib/python3.8/site-packages/cocotb
0.00ns INFO Seeding Python random module with 1659014527
/data/projects/a2o/dev/sim/coco/OPEnv.py:76: SyntaxWarning: assertion is always true, perhaps remove parentheses?
assert(False, self.fail)
0.00ns INFO Found test tb_node.tb_node
0.00ns INFO running tb_node (1/0)
A Vulgar Display of OpenPower
0.00ns INFO [00000000] Set threads=1.
0.00ns INFO [00000000] Mem Update: @FFFFFFFC XXXXXXXX->48000002
0.00ns INFO [00000000] Set reset fetch @FFFFFFFC to 48000002.
9.00ns INFO [00000000] ...tick...
9.00ns INFO [00000000] A2O Driver: nothing to do.
9.00ns INFO [00000000] Memory: started.
9.00ns INFO [00000000] A2L2 Checker: started.
9.00ns INFO [00000000] A2L2 Monitor: started.
9.00ns INFO [00000000] Resetting...
89.00ns INFO [00000010] Releasing reset.
129.00ns INFO [00000016] A2O: load credits = 1.
129.00ns INFO [00000016] A2O: store credits = 1.
129.00ns INFO [00000016] A2O: single-credit mode is enabled.
137.00ns INFO [00000017] A2O Checker: started.
137.00ns INFO [00000017] A2O Monitor: started.
137.00ns INFO [00000017] Node Checker: started.
145.00ns INFO [00000018] Threads enabled.
369.00ns INFO [00000046] T0 IFETCH FFFFFFF0 tag=08 len=6 WIMG:5
521.00ns INFO [00000065] C0: CP 0:3FFFFC 00000000FFFFFFFC
561.00ns INFO [00000070] T0 IFETCH 00000000 tag=08 len=6 WIMG:5
705.00ns INFO [00000088] T0 IFETCH 00000400 tag=08 len=6 WIMG:5
713.00ns INFO [00000089] C0: CP 0:000000 0000000000000000
801.00ns INFO [00000100] ...tick...
849.00ns INFO [00000106] T0 IFETCH 000004E0 tag=08 len=6 WIMG:5
913.00ns INFO [00000114] C0: CP 0:000400 0000000000000400
921.00ns INFO [00000115] GPR Update: R05=0000000000000000
961.00ns INFO [00000120] C0: CP 0:000404 0000000000000404
969.00ns INFO [00000121] CR Update: F0=2
977.00ns INFO [00000122] C0: CP 0:000408 0000000000000408
993.00ns INFO [00000124] T0 IFETCH 000004F0 tag=08 len=6 WIMG:5
1137.00ns INFO [00000142] T0 IFETCH 00000400 tag=09 len=6 WIMG:5
1281.00ns INFO [00000160] T0 IFETCH 00000410 tag=08 len=6 WIMG:5
1297.00ns INFO [00000162] C0: CP 0:00040C 000000000000040C
1305.00ns INFO [00000163] GPR Update: R03=FFFFFFFF8C000000
1425.00ns INFO [00000178] T0 IFETCH 00000420 tag=08 len=6 WIMG:5
1441.00ns INFO [00000180] C0: CP 0:000410 1:000414 0000000000000410
1449.00ns INFO [00000181] C0: CP 0:000418 1:00041C 0000000000000418
1449.00ns INFO [00000181] GPR Update: R00=000000000000001F
1449.00ns INFO [00000181] GPR Update: R02=0000000000000015
1457.00ns INFO [00000182] GPR Update: R04=0000000000000000
1457.00ns INFO [00000182] GPR Update: R08=000000000000023F
1569.00ns INFO [00000196] T0 IFETCH 00000430 tag=08 len=6 WIMG:5
1601.00ns INFO [00000200] ...tick...
1713.00ns INFO [00000214] T0 IFETCH 00000440 tag=08 len=6 WIMG:5
1713.00ns INFO [00000214] C0: CP 0:000420 0000000000000420
1857.00ns INFO [00000232] T0 IFETCH 00000450 tag=08 len=6 WIMG:5
1889.00ns INFO [00000236] C0: CP 0:000424 0000000000000424
2001.00ns INFO [00000250] T0 IFETCH 00000460 tag=08 len=6 WIMG:5
2065.00ns INFO [00000258] C0: CP 0:000428 0000000000000428
2145.00ns INFO [00000268] T0 IFETCH 00000470 tag=08 len=6 WIMG:5
2241.00ns INFO [00000280] C0: CP 0:00042C 1:000430 000000000000042C
2289.00ns INFO [00000286] T0 IFETCH 00000480 tag=08 len=6 WIMG:5
2401.00ns INFO [00000300] ...tick...
2433.00ns INFO [00000304] T0 IFETCH 00000430 tag=09 len=6 WIMG:5
2577.00ns INFO [00000322] T0 IFETCH 00000440 tag=08 len=6 WIMG:5
2593.00ns INFO [00000324] C0: CP 0:000434 1:000438 0000000000000434
2601.00ns INFO [00000325] GPR Update: R10=0000000000000000
2617.00ns INFO [00000327] C0: CP 0:00043C 000000000000043C
2625.00ns INFO [00000328] GPR Update: R10=000000000000003F
2721.00ns INFO [00000340] T0 IFETCH 00000450 tag=08 len=6 WIMG:5
2737.00ns INFO [00000342] C0: CP 0:000440 1:000444 0000000000000440
2745.00ns INFO [00000343] GPR Update: R00=000000000000001E
2745.00ns INFO [00000343] GPR Update: R04=0000000000000000
2753.00ns INFO [00000344] C0: CP 0:000448 0000000000000448
2761.00ns INFO [00000345] C0: CP 0:00044C 000000000000044C
2761.00ns INFO [00000345] GPR Update: R04=0000000000010000
2769.00ns INFO [00000346] GPR Update: R04=0000000000010000
2865.00ns INFO [00000358] T0 IFETCH 00000460 tag=08 len=6 WIMG:5
2881.00ns INFO [00000360] C0: CP 0:000450 1:000454 0000000000000450
2889.00ns INFO [00000361] GPR Update: R08=0000000000010000
2905.00ns INFO [00000363] C0: CP 0:000458 0000000000000458
2913.00ns INFO [00000364] C0: CP 0:00045C 000000000000045C
2913.00ns INFO [00000364] GPR Update: R08=0000000000010000
2921.00ns INFO [00000365] GPR Update: R08=000000000001023F
3009.00ns INFO [00000376] T0 IFETCH 00000470 tag=08 len=6 WIMG:5
3153.00ns INFO [00000394] T0 IFETCH 00000480 tag=08 len=6 WIMG:5
3153.00ns INFO [00000394] C0: CP 0:000460 0000000000000460
3201.00ns INFO [00000400] ...tick...
3297.00ns INFO [00000412] T0 IFETCH 00000490 tag=08 len=6 WIMG:5
3329.00ns INFO [00000416] C0: CP 0:000464 0000000000000464
3441.00ns INFO [00000430] T0 IFETCH 000004A0 tag=08 len=6 WIMG:5
3505.00ns INFO [00000438] C0: CP 0:000468 1:00046C 0000000000000468
3585.00ns INFO [00000448] T0 IFETCH 000004B0 tag=08 len=6 WIMG:5
3729.00ns INFO [00000466] T0 IFETCH 00000470 tag=09 len=6 WIMG:5
3873.00ns INFO [00000484] T0 IFETCH 00000480 tag=08 len=6 WIMG:5
3889.00ns INFO [00000486] C0: CP 0:000470 1:000474 0000000000000470
3897.00ns INFO [00000487] C0: CP 0:000478 1:00047C 0000000000000478
3897.00ns INFO [00000487] GPR Update: R00=000000000000000F
3897.00ns INFO [00000487] GPR Update: R03=FFFFFFFF88000000
3905.00ns INFO [00000488] GPR Update: R02=000000000000003F
3905.00ns INFO [00000488] GPR Update: R04=0000000000000000
4001.00ns INFO [00000500] ...tick...
4017.00ns INFO [00000502] T0 IFETCH 00000490 tag=08 len=6 WIMG:5
4033.00ns INFO [00000504] C0: CP 0:000480 0000000000000480
4041.00ns INFO [00000505] GPR Update: R08=000000000000023F
4161.00ns INFO [00000520] T0 IFETCH 000004A0 tag=08 len=6 WIMG:5
4209.00ns INFO [00000526] C0: CP 0:000484 0000000000000484
4305.00ns INFO [00000538] T0 IFETCH 000004B0 tag=08 len=6 WIMG:5
4353.00ns INFO [00000544] C0: CP 0:000488 0000000000000488
4449.00ns INFO [00000556] T0 IFETCH 000004C0 tag=08 len=6 WIMG:5
4497.00ns INFO [00000562] C0: CP 0:00048C 000000000000048C
4593.00ns INFO [00000574] T0 IFETCH 000004C0 tag=08 len=6 WIMG:5
4641.00ns INFO [00000580] C0: CP 0:000490 1:000494 0000000000000490
4737.00ns INFO [00000592] T0 IFETCH 00000490 tag=08 len=6 WIMG:0
4801.00ns INFO [00000600] ...tick...
4905.00ns INFO [00000613] T0 IFETCH 000004C0 tag=09 len=6 WIMG:0
4905.00ns INFO [00000613] C0: CP 0:000498 1:00049C 0000000000000498
4913.00ns INFO [00000614] GPR Update: R00=000000000000000D
4913.00ns INFO [00000614] GPR Update: R04=0000000000000000
4945.00ns INFO [00000618] C0: CP 0:0004A0 00000000000004A0
4953.00ns INFO [00000619] C0: CP 0:0004A4 1:0004A8 00000000000004A4
4953.00ns INFO [00000619] GPR Update: R04=0000000000010000
4961.00ns INFO [00000620] C0: CP 0:0004AC 00000000000004AC
4961.00ns INFO [00000620] GPR Update: R04=0000000000010000
4961.00ns INFO [00000620] GPR Update: R08=0000000000000000
4969.00ns INFO [00000621] GPR Update: R08=0000000000010000
4985.00ns INFO [00000623] C0: CP 0:0004B0 00000000000004B0
4993.00ns INFO [00000624] C0: CP 0:0004B4 00000000000004B4
4993.00ns INFO [00000624] GPR Update: R08=0000000000010000
5001.00ns INFO [00000625] GPR Update: R08=000000000001023F
5137.00ns INFO [00000642] T0 IFETCH 00000500 tag=08 len=6 WIMG:0
5137.00ns INFO [00000642] C0: CP 0:0004B8 00000000000004B8
5281.00ns INFO [00000660] C0: CP 0:0004BC 00000000000004BC
5305.00ns INFO [00000663] T0 IFETCH 00000540 tag=09 len=6 WIMG:0
5425.00ns INFO [00000678] C0: CP 0:0004C0 1:0004C4 00000000000004C0
5473.00ns INFO [00000684] T0 IFETCH 00000000 tag=08 len=6 WIMG:0
5569.00ns INFO [00000696] C0: CP 0:0004C8 00000000000004C8
5601.00ns INFO [00000700] ...tick...
5609.00ns INFO [00000701] C0: CP 0:0004CC 00000000000004CC
5617.00ns INFO [00000702] GPR Update: R10=0000000000000000
5625.00ns INFO [00000703] C0: CP 0:0004D0 00000000000004D0
5633.00ns INFO [00000704] GPR Update: R10=0000000080020000
5641.00ns INFO [00000705] T0 IFETCH 00000040 tag=09 len=6 WIMG:0
5641.00ns INFO [00000705] C0: CP 0:0004D4 00000000000004D4
5649.00ns INFO [00000706] GPR Update: R10=000000008002B000
5753.00ns INFO [00000719] C0: CP 0:0004D8 00000000000004D8
5809.00ns INFO [00000726] T0 IFETCH 00000400 tag=08 len=6 WIMG:0
5857.00ns INFO [00000732] C0: CP 0:0004DC 00000000000004DC
6009.00ns INFO [00000751] T0 LOAD 000008FA tag=00 len=4 WIMG:0
6129.00ns INFO [00000766] C0: CP 0:0004E0 1:0004E4 00000000000004E0
6137.00ns INFO [00000767] C0: CP 0:000504 1:000508 0000000000000504
6137.00ns INFO [00000767] GPR Update: R01=000000000001FFF8
6145.00ns INFO [00000768] C0: CP 0:00050C 000000000000050C
6145.00ns INFO [00000768] GPR Update: R03=0000000000000C34
6153.00ns INFO [00000769] CTR Update:0000000000000C34
6177.00ns INFO [00000772] T0 IFETCH 00000C30 tag=08 len=6 WIMG:0
6177.00ns INFO [00000772] C0: CP 0:000510 1:000514 0000000000000510
6185.00ns INFO [00000773] GPR Update: R03=0000000000000000
6185.00ns INFO [00000773] LR Update:0000000000000518
6345.00ns INFO [00000793] T0 IFETCH 00000C40 tag=09 len=6 WIMG:0
6385.00ns INFO [00000798] C0: CP 0:000C34 1:000C38 0000000000000C34
6393.00ns INFO [00000799] GPR Update: R00=0000000000000518
6393.00ns INFO [00000799] GPR Update: R01=000000000001FFB8
6401.00ns INFO [00000800] ...tick...
6417.00ns INFO [00000802] C0: CP 0:000C3C 0000000000000C3C
6449.00ns INFO [00000806] T0 STORE 0001FFB8 tag=00 len=4 be=00F00000 data=39291030000000000001FFF83D20000000000000000000000000000000000000 WIMG:0
0001FFB0
0001FFB0, 39291030000000000001FFF83D200000, 0000000011110000
0001FFB0, 39291030, 0000
0000
0001FFB4, 00000000, 0000
0000
0001FFB8, 0001FFF8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
131064
6465.00ns INFO [00000808] Mem Update: @0001FFB8 XXXXXXXX->0001FFF8
0001FFBC, 3D200000, 0000
0000
6465.00ns INFO [00000808] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
6513.00ns INFO [00000814] T0 STORE 0001FFFC tag=00 len=4 be=000F0000 data=3D20000039291030000000000000051800000000000000000000000000000000 WIMG:0
0001FFF0
0001FFF0, 3D200000392910300000000000000518, 0000000000001111
0001FFF0, 3D200000, 0000
0000
0001FFF4, 39291030, 0000
0000
0001FFF8, 00000000, 0000
0000
0001FFFC, 00000518, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1304
6529.00ns INFO [00000816] Mem Update: @0001FFFC XXXXXXXX->00000518
6529.00ns INFO [00000816] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
6569.00ns INFO [00000821] T0 IFETCH 00000CA0 tag=08 len=6 WIMG:0
6577.00ns INFO [00000822] C0: CP 0:000C40 1:000C44 0000000000000C40
6585.00ns INFO [00000823] C0: CP 0:000C48 1:000C4C 0000000000000C48
6585.00ns INFO [00000823] GPR Update: R09=0000000000010000
6593.00ns INFO [00000824] C0: CP 0:000C50 1:000C54 0000000000000C50
6593.00ns INFO [00000824] GPR Update: R09=0000000000012000
6601.00ns INFO [00000825] C0: CP 0:000C58 0000000000000C58
6601.00ns INFO [00000825] GPR Update: R09=0000000000001060
6673.00ns INFO [00000834] T0 STORE 0001FFF0 tag=00 len=4 be=F0000000 data=000000007C0A48404180FFCC0000000000000000000000000000000000000000 WIMG:0
0001FFF0
0001FFF0, 000000007C0A48404180FFCC00000000, 1111000000000000
0001FFF0, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
6689.00ns INFO [00000836] Mem Update: @0001FFF0 XXXXXXXX->00000000
0001FFF4, 7C0A4840, 0000
0000
0001FFF8, 4180FFCC, 0000
0000
0001FFFC, 00000000, 0000
0000
6713.00ns INFO [00000839] T0 IFETCH 00000CC0 tag=09 len=6 WIMG:0
6817.00ns INFO [00000852] T0 STORE 0001FFC4 tag=00 len=4 be=0F000000 data=00000000000120003929E0287C0A484000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 00000000000120003929E0287C0A4840, 0000111100000000
0001FFC0, 00000000, 0000
0000
0001FFC4, 00012000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
73728
6833.00ns INFO [00000854] Mem Update: @0001FFC4 XXXXXXXX->00012000
0001FFC8, 3929E028, 0000
0000
0001FFCC, 7C0A4840, 0000
0000
6833.00ns INFO [00000854] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00000000 00012000 00000000 00000000 00000000 00000000 00000000 00000000 ...... .........................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
6881.00ns INFO [00000860] T0 STORE 0001FFC8 tag=00 len=4 be=00F00000 data=7C0A484000000000000010603929E02800000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 7C0A484000000000000010603929E028, 0000000011110000
0001FFC0, 7C0A4840, 0000
0000
0001FFC4, 00000000, 0000
0000
0001FFC8, 00001060, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4192
6897.00ns INFO [00000862] Mem Update: @0001FFC8 XXXXXXXX->00001060
0001FFCC, 3929E028, 0000
0000
6897.00ns INFO [00000862] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00000000 00012000 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
6937.00ns INFO [00000867] T0 LOAD 0001FFF0 tag=00 len=4 WIMG:0
7057.00ns INFO [00000882] C0: CP 0:000C5C 0000000000000C5C
7065.00ns INFO [00000883] GPR Update: R09=0000000000000000
7081.00ns INFO [00000885] C0: CP 0:000C60 0000000000000C60
7089.00ns INFO [00000886] C0: CP 0:000C64 1:000C70 0000000000000C64
7089.00ns INFO [00000886] CR Update: F0=2
7097.00ns INFO [00000887] C0: CP 0:000C74 1:000C78 0000000000000C74
7097.00ns INFO [00000887] GPR Update: R09=0000000000000000
7105.00ns INFO [00000888] C0: CP 0:000C7C 0000000000000C7C
7105.00ns INFO [00000888] GPR Update: R09=0000000000001030
7161.00ns INFO [00000895] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0000103000000000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 00001030000000000000000000000000, 1111000000000000
0001FFC0, 00001030, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4144
7177.00ns INFO [00000897] Mem Update: @0001FFC0 XXXXXXXX->00001030
0001FFC4, 00000000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 0000
0000
7177.00ns INFO [00000897] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00001030 00012000 00001060 00000000 00000000 00000000 00000000 00000000 ...0.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
7201.00ns INFO [00000900] ...tick...
7257.00ns INFO [00000907] C0: CP 0:000CA4 1:000CA8 0000000000000CA4
7265.00ns INFO [00000908] T0 LOAD 00001030 tag=00 len=4 WIMG:0
7265.00ns INFO [00000908] C0: CP 0:000CAC 1:000CB0 0000000000000CAC
7265.00ns INFO [00000908] GPR Update: R09=0000000000000000
7265.00ns INFO [00000908] GPR Update: R10=0000000000001030
7273.00ns INFO [00000909] C0: CP 0:000CB4 1:000C80 0000000000000CB4
7273.00ns INFO [00000909] GPR Update: R09=0000000000001038
7273.00ns INFO [00000909] CR Update: F0=8
7281.00ns INFO [00000910] C0: CP 0:000C84 1:000C88 0000000000000C84
7281.00ns INFO [00000910] GPR Update: R09=0000000000012000
7289.00ns INFO [00000911] C0: CP 0:000C8C 0000000000000C8C
7289.00ns INFO [00000911] GPR Update: R10=0000000000012004
7297.00ns INFO [00000912] GPR Update: R10=0000000000001030
7369.00ns INFO [00000921] T0 STORE 0001FFC4 tag=00 len=4 be=0F000000 data=00000000000120040001C0000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 00000000000120040001C00000000000, 0000111100000000
0001FFC0, 00000000, 0000
0000
0001FFC4, 00012004, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
73732
7385.00ns INFO [00000923] Mem Update: @0001FFC4 00012000->00012004
0001FFC8, 0001C000, 0000
0000
0001FFCC, 00000000, 0000
0000
7385.00ns INFO [00000923] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00001030 00012004 00001060 00000000 00000000 00000000 00000000 00000000 ...0.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
7385.00ns INFO [00000923] C0: CP 0:000C90 0000000000000C90
7393.00ns INFO [00000924] GPR Update: R10=000000000001C000
7417.00ns INFO [00000927] C0: CP 0:000C94 1:000C98 0000000000000C94
7425.00ns INFO [00000928] C0: CP 0:000C9C 1:000CA0 0000000000000C9C
7425.00ns INFO [00000928] GPR Update: R09=0000000000001030
7433.00ns INFO [00000929] GPR Update: R09=0000000000001034
7465.00ns INFO [00000933] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0000001C000000000000000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C0000001C0000000000000000000, 1111000000000000
00012000, 0001C000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114688
7481.00ns INFO [00000935] Mem Update: @00012000 XXXXXXXX->0001C000
00012004, 0001C000, 0000
0000
00012008, 00000000, 0000
0000
0001200C, 00000000, 0000
0000
7529.00ns INFO [00000941] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=000010340001C000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 000010340001C0000000000000000000, 1111000000000000
0001FFC0, 00001034, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4148
7545.00ns INFO [00000943] Mem Update: @0001FFC0 00001030->00001034
0001FFC4, 0001C000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 0000
0000
7545.00ns INFO [00000943] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00001034 00012004 00001060 00000000 00000000 00000000 00000000 00000000 ...4.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
7625.00ns INFO [00000953] C0: CP 0:000CA4 1:000CA8 0000000000000CA4
7633.00ns INFO [00000954] C0: CP 0:000CAC 1:000CB0 0000000000000CAC
7633.00ns INFO [00000954] GPR Update: R09=0000000000000000
7633.00ns INFO [00000954] GPR Update: R10=0000000000001034
7641.00ns INFO [00000955] C0: CP 0:000CB4 1:000C80 0000000000000CB4
7641.00ns INFO [00000955] GPR Update: R09=0000000000001038
7641.00ns INFO [00000955] CR Update: F0=8
7649.00ns INFO [00000956] C0: CP 0:000C84 1:000C88 0000000000000C84
7649.00ns INFO [00000956] GPR Update: R09=0000000000012004
7657.00ns INFO [00000957] C0: CP 0:000C8C 0000000000000C8C
7657.00ns INFO [00000957] GPR Update: R10=0000000000012008
7665.00ns INFO [00000958] C0: CP 0:000C90 0000000000000C90
7665.00ns INFO [00000958] GPR Update: R10=0000000000001034
7673.00ns INFO [00000959] GPR Update: R10=0000000000000000
7681.00ns INFO [00000960] C0: CP 0:000C94 1:000C98 0000000000000C94
7689.00ns INFO [00000961] T0 STORE 0001FFC4 tag=00 len=4 be=0F000000 data=00000000000120080001C0000000000000000000000000000000000000000000 WIMG:0
7689.00ns INFO [00000961] C0: CP 0:000C9C 1:000CA0 0000000000000C9C
7689.00ns INFO [00000961] GPR Update: R09=0000000000001034
7697.00ns INFO [00000962] GPR Update: R09=0000000000001038
0001FFC0
0001FFC0, 00000000000120080001C00000000000, 0000111100000000
0001FFC0, 00000000, 0000
0000
0001FFC4, 00012008, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
73736
7705.00ns INFO [00000963] Mem Update: @0001FFC4 00012004->00012008
0001FFC8, 0001C000, 0000
0000
0001FFCC, 00000000, 0000
0000
7705.00ns INFO [00000963] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00001034 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...4.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
7753.00ns INFO [00000969] T0 STORE 00012004 tag=00 len=4 be=0F000000 data=00000000000000000001C0000000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 00000000000000000001C00000000000, 0000111100000000
00012000, 00000000, 0000
0000
00012004, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
7769.00ns INFO [00000971] Mem Update: @00012004 XXXXXXXX->00000000
00012008, 0001C000, 0000
0000
0001200C, 00000000, 0000
0000
7817.00ns INFO [00000977] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=000010380001C000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 000010380001C0000000000000000000, 1111000000000000
0001FFC0, 00001038, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4152
7833.00ns INFO [00000979] Mem Update: @0001FFC0 00001034->00001038
0001FFC4, 0001C000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 0000
0000
7833.00ns INFO [00000979] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00001038 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...8.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
7913.00ns INFO [00000989] C0: CP 0:000CA4 1:000CA8 0000000000000CA4
7921.00ns INFO [00000990] C0: CP 0:000CAC 1:000CB0 0000000000000CAC
7921.00ns INFO [00000990] GPR Update: R09=0000000000000000
7921.00ns INFO [00000990] GPR Update: R10=0000000000001038
7929.00ns INFO [00000991] C0: CP 0:000CB4 0000000000000CB4
7929.00ns INFO [00000991] GPR Update: R09=0000000000001038
7929.00ns INFO [00000991] CR Update: F0=2
8001.00ns INFO [00001000] ...tick...
8049.00ns INFO [00001006] C0: CP 0:000CB8 1:000CBC 0000000000000CB8
8057.00ns INFO [00001007] GPR Update: R09=000000000001E008
8081.00ns INFO [00001010] C0: CP 0:000CC0 1:000CC4 0000000000000CC0
8137.00ns INFO [00001017] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0080001C000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 0001E0080001C0000000000000000000, 1111000000000000
0001FFC0, 0001E008, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
122888
8153.00ns INFO [00001019] Mem Update: @0001FFC0 00001038->0001E008
0001FFC4, 0001C000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 0000
0000
8153.00ns INFO [00001019] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E008 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
8233.00ns INFO [00001029] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
8241.00ns INFO [00001030] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
8241.00ns INFO [00001030] GPR Update: R09=0000000000020000
8241.00ns INFO [00001030] GPR Update: R10=000000000001E008
8249.00ns INFO [00001031] GPR Update: R09=000000000001E028
8249.00ns INFO [00001031] CR Update: F0=8
8257.00ns INFO [00001032] C0: CP 0:000CF8 1:000CC8 0000000000000CF8
8265.00ns INFO [00001033] C0: CP 0:000CCC 0000000000000CCC
8265.00ns INFO [00001033] GPR Update: R09=000000000001E008
8273.00ns INFO [00001034] C0: CP 0:000CD0 1:000CD4 0000000000000CD0
8273.00ns INFO [00001034] GPR Update: R10=000000000001E00C
8281.00ns INFO [00001035] C0: CP 0:000CD8 0000000000000CD8
8281.00ns INFO [00001035] GPR Update: R10=0000000000000000
8313.00ns INFO [00001039] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E00C0001C000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 0001E00C0001C0000000000000000000, 1111000000000000
0001FFC0, 0001E00C, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
122892
8329.00ns INFO [00001041] Mem Update: @0001FFC0 0001E008->0001E00C
0001FFC4, 0001C000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 0000
0000
8329.00ns INFO [00001041] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E00C 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
8377.00ns INFO [00001047] T0 STORE 0001E008 tag=00 len=4 be=00F00000 data=0000000000000000000000000001C00000000000000000000000000000000000 WIMG:0
0001E000
0001E000, 0000000000000000000000000001C000, 0000000011110000
0001E000, 00000000, 0000
0000
0001E004, 00000000, 0000
0000
0001E008, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
8393.00ns INFO [00001049] Mem Update: @0001E008 XXXXXXXX->00000000
0001E00C, 0001C000, 0000
0000
8417.00ns INFO [00001052] C0: CP 0:000CDC 0000000000000CDC
8425.00ns INFO [00001053] GPR Update: R09=000000000001E00C
8433.00ns INFO [00001054] C0: CP 0:000CE0 0000000000000CE0
8441.00ns INFO [00001055] GPR Update: R09=000000000001E010
8449.00ns INFO [00001056] C0: CP 0:000CE4 0000000000000CE4
8505.00ns INFO [00001063] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0100001C000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 0001E0100001C0000000000000000000, 1111000000000000
0001FFC0, 0001E010, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
122896
8521.00ns INFO [00001065] Mem Update: @0001FFC0 0001E00C->0001E010
0001FFC4, 0001C000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 0000
0000
8521.00ns INFO [00001065] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E010 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
8601.00ns INFO [00001075] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
8609.00ns INFO [00001076] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
8609.00ns INFO [00001076] GPR Update: R09=0000000000020000
8609.00ns INFO [00001076] GPR Update: R10=000000000001E010
8617.00ns INFO [00001077] C0: CP 0:000CF8 1:000CC8 0000000000000CF8
8617.00ns INFO [00001077] GPR Update: R09=000000000001E028
8617.00ns INFO [00001077] CR Update: F0=8
8625.00ns INFO [00001078] C0: CP 0:000CCC 0000000000000CCC
8625.00ns INFO [00001078] GPR Update: R09=000000000001E010
8633.00ns INFO [00001079] C0: CP 0:000CD0 1:000CD4 0000000000000CD0
8633.00ns INFO [00001079] GPR Update: R10=000000000001E014
8641.00ns INFO [00001080] C0: CP 0:000CD8 0000000000000CD8
8641.00ns INFO [00001080] GPR Update: R10=0000000000000000
8681.00ns INFO [00001085] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0140001C000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 0001E0140001C0000000000000000000, 1111000000000000
0001FFC0, 0001E014, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
122900
8697.00ns INFO [00001087] Mem Update: @0001FFC0 0001E010->0001E014
0001FFC4, 0001C000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 0000
0000
8697.00ns INFO [00001087] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E014 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
8745.00ns INFO [00001093] T0 STORE 0001E010 tag=00 len=4 be=F0000000 data=000000000001C000000000000000000000000000000000000000000000000000 WIMG:0
0001E010
0001E010, 000000000001C0000000000000000000, 1111000000000000
0001E010, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
8761.00ns INFO [00001095] Mem Update: @0001E010 XXXXXXXX->00000000
0001E014, 0001C000, 0000
0000
0001E018, 00000000, 0000
0000
0001E01C, 00000000, 0000
0000
8777.00ns INFO [00001097] C0: CP 0:000CDC 0000000000000CDC
8785.00ns INFO [00001098] GPR Update: R09=000000000001E014
8793.00ns INFO [00001099] C0: CP 0:000CE0 0000000000000CE0
8801.00ns INFO [00001100] ...tick...
8801.00ns INFO [00001100] GPR Update: R09=000000000001E018
8809.00ns INFO [00001101] C0: CP 0:000CE4 0000000000000CE4
8857.00ns INFO [00001107] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0180001C000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 0001E0180001C0000000000000000000, 1111000000000000
0001FFC0, 0001E018, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
122904
8873.00ns INFO [00001109] Mem Update: @0001FFC0 0001E014->0001E018
0001FFC4, 0001C000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 0000
0000
8873.00ns INFO [00001109] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E018 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
8953.00ns INFO [00001119] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
8961.00ns INFO [00001120] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
8961.00ns INFO [00001120] GPR Update: R09=0000000000020000
8961.00ns INFO [00001120] GPR Update: R10=000000000001E018
8969.00ns INFO [00001121] GPR Update: R09=000000000001E028
8969.00ns INFO [00001121] CR Update: F0=8
8977.00ns INFO [00001122] C0: CP 0:000CF8 1:000CC8 0000000000000CF8
8985.00ns INFO [00001123] C0: CP 0:000CCC 1:000CD0 0000000000000CCC
8985.00ns INFO [00001123] GPR Update: R09=000000000001E018
8993.00ns INFO [00001124] C0: CP 0:000CD4 1:000CD8 0000000000000CD4
8993.00ns INFO [00001124] GPR Update: R10=000000000001E01C
9001.00ns INFO [00001125] GPR Update: R10=0000000000000000
9033.00ns INFO [00001129] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E01C0001C000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 0001E01C0001C0000000000000000000, 1111000000000000
0001FFC0, 0001E01C, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
122908
9049.00ns INFO [00001131] Mem Update: @0001FFC0 0001E018->0001E01C
0001FFC4, 0001C000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 0000
0000
9049.00ns INFO [00001131] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E01C 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
9097.00ns INFO [00001137] T0 STORE 0001E018 tag=00 len=4 be=00F00000 data=0000000000000000000000000001C00000000000000000000000000000000000 WIMG:0
0001E010
0001E010, 0000000000000000000000000001C000, 0000000011110000
0001E010, 00000000, 0000
0000
0001E014, 00000000, 0000
0000
0001E018, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
9113.00ns INFO [00001139] Mem Update: @0001E018 XXXXXXXX->00000000
0001E01C, 0001C000, 0000
0000
9129.00ns INFO [00001141] C0: CP 0:000CDC 0000000000000CDC
9137.00ns INFO [00001142] C0: CP 0:000CE0 0000000000000CE0
9137.00ns INFO [00001142] GPR Update: R09=000000000001E01C
9145.00ns INFO [00001143] GPR Update: R09=000000000001E020
9161.00ns INFO [00001145] C0: CP 0:000CE4 0000000000000CE4
9209.00ns INFO [00001151] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0200001C000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 0001E0200001C0000000000000000000, 1111000000000000
0001FFC0, 0001E020, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
122912
9225.00ns INFO [00001153] Mem Update: @0001FFC0 0001E01C->0001E020
0001FFC4, 0001C000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 0000
0000
9225.00ns INFO [00001153] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E020 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ... .. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
9305.00ns INFO [00001163] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
9313.00ns INFO [00001164] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
9313.00ns INFO [00001164] GPR Update: R09=0000000000020000
9313.00ns INFO [00001164] GPR Update: R10=000000000001E020
9321.00ns INFO [00001165] GPR Update: R09=000000000001E028
9321.00ns INFO [00001165] CR Update: F0=8
9329.00ns INFO [00001166] C0: CP 0:000CF8 1:000CC8 0000000000000CF8
9337.00ns INFO [00001167] C0: CP 0:000CCC 1:000CD0 0000000000000CCC
9337.00ns INFO [00001167] GPR Update: R09=000000000001E020
9345.00ns INFO [00001168] C0: CP 0:000CD4 1:000CD8 0000000000000CD4
9345.00ns INFO [00001168] GPR Update: R10=000000000001E024
9353.00ns INFO [00001169] GPR Update: R10=0000000000000000
9385.00ns INFO [00001173] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0240001C000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 0001E0240001C0000000000000000000, 1111000000000000
0001FFC0, 0001E024, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
122916
9401.00ns INFO [00001175] Mem Update: @0001FFC0 0001E020->0001E024
0001FFC4, 0001C000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 0000
0000
9401.00ns INFO [00001175] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E024 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...$.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
9449.00ns INFO [00001181] T0 STORE 0001E020 tag=00 len=4 be=F0000000 data=000000000001C000000000000000000000000000000000000000000000000000 WIMG:0
0001E020
0001E020, 000000000001C0000000000000000000, 1111000000000000
0001E020, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
9465.00ns INFO [00001183] Mem Update: @0001E020 XXXXXXXX->00000000
0001E024, 0001C000, 0000
0000
0001E028, 00000000, 0000
0000
0001E02C, 00000000, 0000
0000
9481.00ns INFO [00001185] C0: CP 0:000CDC 0000000000000CDC
9489.00ns INFO [00001186] GPR Update: R09=000000000001E024
9497.00ns INFO [00001187] C0: CP 0:000CE0 0000000000000CE0
9505.00ns INFO [00001188] GPR Update: R09=000000000001E028
9513.00ns INFO [00001189] C0: CP 0:000CE4 0000000000000CE4
9561.00ns INFO [00001195] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0280001C000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 0001E0280001C0000000000000000000, 1111000000000000
0001FFC0, 0001E028, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
122920
9577.00ns INFO [00001197] Mem Update: @0001FFC0 0001E024->0001E028
0001FFC4, 0001C000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 0000
0000
9577.00ns INFO [00001197] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
9601.00ns INFO [00001200] ...tick...
9657.00ns INFO [00001207] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
9665.00ns INFO [00001208] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
9665.00ns INFO [00001208] GPR Update: R09=0000000000020000
9665.00ns INFO [00001208] GPR Update: R10=000000000001E028
9673.00ns INFO [00001209] C0: CP 0:000CF8 0000000000000CF8
9673.00ns INFO [00001209] GPR Update: R09=000000000001E028
9673.00ns INFO [00001209] CR Update: F0=2
9729.00ns INFO [00001216] T0 IFETCH 00000D00 tag=08 len=6 WIMG:0
9793.00ns INFO [00001224] C0: CP 0:000CFC 0000000000000CFC
9801.00ns INFO [00001225] GPR Update: R09=0000000000000000
9889.00ns INFO [00001236] C0: CP 0:000D00 1:000D04 0000000000000D00
9897.00ns INFO [00001237] T0 IFETCH 00000D40 tag=09 len=6 WIMG:0
9897.00ns INFO [00001237] GPR Update: R03=0000000000000C00
9897.00ns INFO [00001237] LR Update:0000000000000D08
10065.00ns INFO [00001258] T0 IFETCH 00003840 tag=08 len=6 WIMG:0
10233.00ns INFO [00001279] T0 IFETCH 00003880 tag=09 len=6 WIMG:0
10249.00ns INFO [00001281] C0: CP 0:003840 0000000000003840
10257.00ns INFO [00001282] GPR Update: R01=000000000001FFA8
10281.00ns INFO [00001285] C0: CP 0:003844 1:003848 0000000000003844
10289.00ns INFO [00001286] GPR Update: R09=0000000000020000
10337.00ns INFO [00001292] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=8129E018000000000001FFB83D20000200000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 8129E018000000000001FFB83D200002, 0000000011110000
0001FFA0, 8129E018, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 0001FFB8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
131000
10353.00ns INFO [00001294] Mem Update: @0001FFA8 XXXXXXXX->0001FFB8
0001FFAC, 3D200002, 0000
0000
10353.00ns INFO [00001294] Stack:
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
10401.00ns INFO [00001300] ...tick...
10401.00ns INFO [00001300] T0 STORE 0001FFB0 tag=00 len=4 be=F0000000 data=00000C003D2000028129E0180000000000000000000000000000000000000000 WIMG:0
0001FFB0
0001FFB0, 00000C003D2000028129E01800000000, 1111000000000000
0001FFB0, 00000C00, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3072
10417.00ns INFO [00001302] Mem Update: @0001FFB0 XXXXXXXX->00000C00
0001FFB4, 3D200002, 0000
0000
0001FFB8, 8129E018, 0000
0000
0001FFBC, 00000000, 0000
0000
10417.00ns INFO [00001302] Stack:
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000C00 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
10441.00ns INFO [00001305] T0 IFETCH 000038C0 tag=08 len=6 WIMG:0
10609.00ns INFO [00001326] T0 LOAD 0001FFB0 tag=00 len=4 WIMG:0
10729.00ns INFO [00001341] C0: CP 0:00384C 000000000000384C
10737.00ns INFO [00001342] GPR Update: R10=0000000000000C00
10761.00ns INFO [00001345] C0: CP 0:003850 1:003854 0000000000003850
10769.00ns INFO [00001346] C0: CP 0:003858 1:00385C 0000000000003858
10777.00ns INFO [00001347] T0 IFETCH 00003900 tag=09 len=6 WIMG:0
10777.00ns INFO [00001347] C0: CP 0:000D08 1:000D0C 0000000000000D08
10777.00ns INFO [00001347] GPR Update: R01=000000000001FFB8
10785.00ns INFO [00001348] C0: CP 0:003890 1:003894 0000000000003890
10785.00ns INFO [00001348] GPR Update: R03=0000000000000077
10785.00ns INFO [00001348] LR Update:0000000000000D10
10793.00ns INFO [00001349] C0: CP 0:003898 1:00389C 0000000000003898
10793.00ns INFO [00001349] GPR Update: R00=0000000000000D10
10793.00ns INFO [00001349] GPR Update: R01=000000000001FFA8
10801.00ns INFO [00001350] C0: CP 0:0038A0 00000000000038A0
10809.00ns INFO [00001351] GPR Update: R09=0000000000020000
10881.00ns INFO [00001360] T0 STORE 0001E018 tag=00 len=4 be=00F00000 data=800100140000000000000C007D234B7800000000000000000000000000000000 WIMG:0
0001E010
0001E010, 800100140000000000000C007D234B78, 0000000011110000
0001E010, 80010014, 0000
0000
0001E014, 00000000, 0000
0000
0001E018, 00000C00, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3072
10897.00ns INFO [00001362] Mem Update: @0001E018 00000000->00000C00
0001E01C, 7D234B78, 0000
0000
10945.00ns INFO [00001368] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=80010014000000000001FFB87D234B7800000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 80010014000000000001FFB87D234B78, 0000000011110000
0001FFA0, 80010014, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 0001FFB8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
131000
10961.00ns INFO [00001370] Mem Update: @0001FFA8 0001FFB8->0001FFB8
0001FFAC, 7D234B78, 0000
0000
10985.00ns INFO [00001373] T0 LOAD 0001E018 tag=00 len=4 WIMG:0
11089.00ns INFO [00001386] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=00000000000000000000000000000D1000000000000000000000000000000000 WIMG:0
11089.00ns INFO [00001386] C0: CP 0:0038A4 00000000000038A4
11097.00ns INFO [00001387] GPR Update: R09=0000000000000C00
0001FFB0
0001FFB0, 00000000000000000000000000000D10, 0000000000001111
0001FFB0, 00000000, 0000
0000
0001FFB4, 00000000, 0000
0000
0001FFB8, 00000000, 0000
0000
0001FFBC, 00000D10, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3344
11105.00ns INFO [00001388] Mem Update: @0001FFBC XXXXXXXX->00000D10
11105.00ns INFO [00001388] Stack:
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000C00 00000000 0001FFF8 00000D10 ................................
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11113.00ns INFO [00001389] C0: CP 0:0038A8 00000000000038A8
11121.00ns INFO [00001390] C0: CP 0:0038AC 00000000000038AC
11121.00ns INFO [00001390] CR Update: F0=4
11153.00ns INFO [00001394] T0 STORE 0001FFB0 tag=00 len=4 be=F0000000 data=0000007700000000000000000000000000000000000000000000000000000000 WIMG:0
0001FFB0
0001FFB0, 00000077000000000000000000000000, 1111000000000000
0001FFB0, 00000077, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
119
11169.00ns INFO [00001396] Mem Update: @0001FFB0 00000C00->00000077
0001FFB4, 00000000, 0000
0000
0001FFB8, 00000000, 0000
0000
0001FFBC, 00000000, 0000
0000
11169.00ns INFO [00001396] Stack:
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000077 00000000 0001FFF8 00000D10 ...................w............
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11201.00ns INFO [00001400] ...tick...
11241.00ns INFO [00001405] C0: CP 0:0038B0 00000000000038B0
11249.00ns INFO [00001406] GPR Update: R09=0000000000020000
11289.00ns INFO [00001411] C0: CP 0:0038B4 00000000000038B4
11297.00ns INFO [00001412] C0: CP 0:0038B8 1:0038BC 00000000000038B8
11297.00ns INFO [00001412] GPR Update: R09=0000000000000C00
11305.00ns INFO [00001413] C0: CP 0:0038C0 1:0038C4 00000000000038C0
11305.00ns INFO [00001413] GPR Update: R10=0000000000000077
11313.00ns INFO [00001414] C0: CP 0:0038C8 00000000000038C8
11313.00ns INFO [00001414] GPR Update: R03=0000000000000077
11313.00ns INFO [00001414] CTR Update:0000000000000C00
11321.00ns INFO [00001415] LR Update:00000000000038CC
11449.00ns INFO [00001431] C0: CP 0:000C00 1:000C04 0000000000000C00
11457.00ns INFO [00001432] GPR Update: R01=000000000001FF98
11457.00ns INFO [00001432] GPR Update: R09=0000000000000077
11465.00ns INFO [00001433] T0 LOAD 00012000 tag=00 len=4 WIMG:0
11481.00ns INFO [00001435] C0: CP 0:000C08 1:000C0C 0000000000000C08
11489.00ns INFO [00001436] GPR Update: R09=0000000000010000
11561.00ns INFO [00001445] C0: CP 0:000C10 0000000000000C10
11569.00ns INFO [00001446] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=00000000000000000001FFA80000000000000000000000000000000000000000 WIMG:0
11569.00ns INFO [00001446] GPR Update: R09=000000000001C000
0001FF90
0001FF90, 00000000000000000001FFA800000000, 0000000011110000
0001FF90, 00000000, 0000
0000
0001FF94, 00000000, 0000
0000
0001FF98, 0001FFA8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130984
11585.00ns INFO [00001448] Mem Update: @0001FF98 XXXXXXXX->0001FFA8
0001FF9C, 00000000, 0000
0000
11585.00ns INFO [00001448] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000077 00000000 0001FFF8 00000D10 ...................w............
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11585.00ns INFO [00001448] C0: CP 0:000C14 1:000C18 0000000000000C14
11593.00ns INFO [00001449] GPR Update: R08=000000000001C001
11593.00ns INFO [00001449] GPR Update: R10=0000000000010000
11601.00ns INFO [00001450] C0: CP 0:000C1C 0000000000000C1C
11633.00ns INFO [00001454] T0 STORE 0001FFA0 tag=00 len=1 be=80000000 data=7700000000000000000000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 77000000000000000000000000000000, 1000000000000000
0001FFA0, 77000000, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
1996488704
11649.00ns INFO [00001456] Mem Update: @0001FFA0 XXXXXXXX->77000000
0001FFA4, 00000000, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, 00000000, 0000
0000
11649.00ns INFO [00001456] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 77000000 00000000 0001FFB8 00000000 00000077 00000000 0001FFF8 00000D10 w..................w............
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11697.00ns INFO [00001462] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00100000000000000000000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C001000000000000000000000000, 1111000000000000
00012000, 0001C001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114689
11713.00ns INFO [00001464] Mem Update: @00012000 0001C000->0001C001
00012004, 00000000, 0000
0000
00012008, 00000000, 0000
0000
0001200C, 00000000, 0000
0000
11729.00ns INFO [00001466] C0: CP 0:000C20 0000000000000C20
11737.00ns INFO [00001467] GPR Update: R10=0000000000000077
11745.00ns INFO [00001468] C0: CP 0:000C24 1:000C28 0000000000000C24
11753.00ns INFO [00001469] C0: CP 0:000C2C 1:000C30 0000000000000C2C
11761.00ns INFO [00001470] C0: CP 0:0038CC 1:0038D0 00000000000038CC
11761.00ns INFO [00001470] GPR Update: R01=000000000001FFA8
11769.00ns INFO [00001471] C0: CP 0:0038D4 1:0038D8 00000000000038D4
11769.00ns INFO [00001471] GPR Update: R03=0000000000000077
11769.00ns INFO [00001471] GPR Update: R09=0000000000000077
11777.00ns INFO [00001472] C0: CP 0:0038DC 1:0038E0 00000000000038DC
11777.00ns INFO [00001472] GPR Update: R00=0000000000000D10
11777.00ns INFO [00001472] LR Update:0000000000000D10
11785.00ns INFO [00001473] GPR Update: R01=000000000001FFB8
11793.00ns INFO [00001474] T0 STORE 0001C000 tag=00 len=1 be=80000000 data=7700000000000000000000000000000000000000000000000000000000000000 WIMG:0
0001C000
0001C000, 77000000000000000000000000000000, 1000000000000000
0001C000, 77000000, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
1996488704
11809.00ns INFO [00001476] Mem Update: @0001C000 XXXXXXXX->77000000
0001C004, 00000000, 0000
0000
0001C008, 00000000, 0000
0000
0001C00C, 00000000, 0000
0000
11809.00ns INFO [00001476] Print buffer:
0001C000: 77000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 w...............................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
11889.00ns INFO [00001486] C0: CP 0:000D10 1:000D14 0000000000000D10
11897.00ns INFO [00001487] GPR Update: R03=0000000000000074
11897.00ns INFO [00001487] LR Update:0000000000000D18
11921.00ns INFO [00001490] C0: CP 0:003890 0000000000003890
11929.00ns INFO [00001491] C0: CP 0:003894 0000000000003894
11929.00ns INFO [00001491] GPR Update: R01=000000000001FFA8
11937.00ns INFO [00001492] GPR Update: R00=0000000000000D18
11953.00ns INFO [00001494] C0: CP 0:003898 0000000000003898
11961.00ns INFO [00001495] C0: CP 0:00389C 1:0038A0 000000000000389C
11969.00ns INFO [00001496] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=00000000000000000001FFB80000000000000000000000000000000000000000 WIMG:0
11969.00ns INFO [00001496] GPR Update: R09=0000000000020000
11977.00ns INFO [00001497] C0: CP 0:0038A4 00000000000038A4
0001FFA0
0001FFA0, 00000000000000000001FFB800000000, 0000000011110000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 0001FFB8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
131000
11985.00ns INFO [00001498] Mem Update: @0001FFA8 0001FFB8->0001FFB8
0001FFAC, 00000000, 0000
0000
11985.00ns INFO [00001498] C0: CP 0:0038A8 00000000000038A8
11985.00ns INFO [00001498] GPR Update: R09=0000000000000C00
11993.00ns INFO [00001499] C0: CP 0:0038AC 00000000000038AC
11993.00ns INFO [00001499] CR Update: F0=4
12001.00ns INFO [00001500] ...tick...
12033.00ns INFO [00001504] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=00000000000000000000000000000D1800000000000000000000000000000000 WIMG:0
0001FFB0
0001FFB0, 00000000000000000000000000000D18, 0000000000001111
0001FFB0, 00000000, 0000
0000
0001FFB4, 00000000, 0000
0000
0001FFB8, 00000000, 0000
0000
0001FFBC, 00000D18, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3352
12049.00ns INFO [00001506] Mem Update: @0001FFBC 00000D10->00000D18
12049.00ns INFO [00001506] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 77000000 00000000 0001FFB8 00000000 00000077 00000000 0001FFF8 00000D18 w..................w............
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
12097.00ns INFO [00001512] T0 STORE 0001FFB0 tag=00 len=4 be=F0000000 data=0000007400000000000000000000000000000000000000000000000000000000 WIMG:0
0001FFB0
0001FFB0, 00000074000000000000000000000000, 1111000000000000
0001FFB0, 00000074, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
116
12113.00ns INFO [00001514] Mem Update: @0001FFB0 00000077->00000074
0001FFB4, 00000000, 0000
0000
0001FFB8, 00000000, 0000
0000
0001FFBC, 00000000, 0000
0000
12113.00ns INFO [00001514] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 77000000 00000000 0001FFB8 00000000 00000074 00000000 0001FFF8 00000D18 w..................t............
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
12113.00ns INFO [00001514] C0: CP 0:0038B0 00000000000038B0
12121.00ns INFO [00001515] GPR Update: R09=0000000000020000
12161.00ns INFO [00001520] C0: CP 0:0038B4 00000000000038B4
12169.00ns INFO [00001521] GPR Update: R09=0000000000000C00
12217.00ns INFO [00001527] T0 IFETCH 00003A90 tag=08 len=6 WIMG:0
12217.00ns INFO [00001527] C0: CP 0:0038B8 1:0038BC 00000000000038B8
12225.00ns INFO [00001528] GPR Update: R10=0000000000000074
12233.00ns INFO [00001529] C0: CP 0:0038C0 1:0038C4 00000000000038C0
12241.00ns INFO [00001530] C0: CP 0:0038C8 1:000C00 00000000000038C8
12241.00ns INFO [00001530] GPR Update: R03=0000000000000074
12241.00ns INFO [00001530] CTR Update:0000000000000C00
12249.00ns INFO [00001531] C0: CP 0:000C04 0000000000000C04
12249.00ns INFO [00001531] GPR Update: R01=000000000001FF98
12249.00ns INFO [00001531] LR Update:00000000000038CC
12257.00ns INFO [00001532] GPR Update: R09=0000000000000074
12281.00ns INFO [00001535] C0: CP 0:000C08 1:000C0C 0000000000000C08
12289.00ns INFO [00001536] C0: CP 0:000C10 1:000C14 0000000000000C10
12289.00ns INFO [00001536] GPR Update: R09=0000000000010000
12297.00ns INFO [00001537] C0: CP 0:000C18 1:000C1C 0000000000000C18
12297.00ns INFO [00001537] GPR Update: R08=000000000001C002
12297.00ns INFO [00001537] GPR Update: R09=000000000001C001
12305.00ns INFO [00001538] GPR Update: R10=0000000000010000
12321.00ns INFO [00001540] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=90E10030000000000001FFA890C1002C00000000000000000000000000000000 WIMG:0
0001FF90
0001FF90, 90E10030000000000001FFA890C1002C, 0000000011110000
0001FF90, 90E10030, 0000
0000
0001FF94, 00000000, 0000
0000
0001FF98, 0001FFA8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130984
12337.00ns INFO [00001542] Mem Update: @0001FF98 0001FFA8->0001FFA8
0001FF9C, 90C1002C, 0000
0000
12361.00ns INFO [00001545] T0 IFETCH 00003AC0 tag=09 len=6 WIMG:0
12465.00ns INFO [00001558] T0 STORE 0001FFA0 tag=00 len=1 be=80000000 data=74392000009921000D0000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 74392000009921000D00000000000000, 1000000000000000
0001FFA0, 74392000, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
1946157056
12481.00ns INFO [00001560] Mem Update: @0001FFA0 77000000->74000000
0001FFA4, 00992100, 0000
0000
0001FFA8, 0D000000, 0000
0000
0001FFAC, 00000000, 0000
0000
12481.00ns INFO [00001560] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 74000000 00000000 0001FFB8 00000000 00000074 00000000 0001FFF8 00000D18 t..................t............
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
12529.00ns INFO [00001566] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C002392000009921000D0000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C002392000009921000D00000000, 1111000000000000
00012000, 0001C002, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114690
12545.00ns INFO [00001568] Mem Update: @00012000 0001C001->0001C002
00012004, 39200000, 0000
0000
00012008, 9921000D, 0000
0000
0001200C, 00000000, 0000
0000
12561.00ns INFO [00001570] C0: CP 0:000C20 0000000000000C20
12569.00ns INFO [00001571] GPR Update: R10=0000000000000074
12577.00ns INFO [00001572] C0: CP 0:000C24 1:000C28 0000000000000C24
12585.00ns INFO [00001573] C0: CP 0:000C2C 1:000C30 0000000000000C2C
12593.00ns INFO [00001574] C0: CP 0:0038CC 1:0038D0 00000000000038CC
12593.00ns INFO [00001574] GPR Update: R01=000000000001FFA8
12601.00ns INFO [00001575] C0: CP 0:0038D4 1:0038D8 00000000000038D4
12601.00ns INFO [00001575] GPR Update: R03=0000000000000074
12601.00ns INFO [00001575] GPR Update: R09=0000000000000074
12609.00ns INFO [00001576] C0: CP 0:0038DC 1:0038E0 00000000000038DC
12609.00ns INFO [00001576] GPR Update: R00=0000000000000D18
12609.00ns INFO [00001576] LR Update:0000000000000D18
12617.00ns INFO [00001577] GPR Update: R01=000000000001FFB8
12625.00ns INFO [00001578] T0 STORE 0001C001 tag=00 len=1 be=40000000 data=0074392000009921000D00000000000000000000000000000000000000000000 WIMG:0
0001C000
0001C000, 0074392000009921000D000000000000, 0100000000000000
0001C000, 00743920, 0100
0100
0
0
65280
16711680
00FF0000
77000000
7602176
12641.00ns INFO [00001580] Mem Update: @0001C000 77000000->77740000
0001C004, 00009921, 0000
0000
0001C008, 000D0000, 0000
0000
0001C00C, 00000000, 0000
0000
12641.00ns INFO [00001580] Print buffer:
0001C000: 77740000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 wt..............................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
12721.00ns INFO [00001590] C0: CP 0:000D18 1:000D1C 0000000000000D18
12729.00ns INFO [00001591] GPR Update: R03=0000000000000066
12729.00ns INFO [00001591] LR Update:0000000000000D20
12753.00ns INFO [00001594] C0: CP 0:003890 0000000000003890
12761.00ns INFO [00001595] C0: CP 0:003894 0000000000003894
12761.00ns INFO [00001595] GPR Update: R01=000000000001FFA8
12769.00ns INFO [00001596] GPR Update: R00=0000000000000D20
12785.00ns INFO [00001598] C0: CP 0:003898 0000000000003898
12793.00ns INFO [00001599] C0: CP 0:00389C 1:0038A0 000000000000389C
12801.00ns INFO [00001600] ...tick...
12801.00ns INFO [00001600] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=9921000D000000000001FFB83920000000000000000000000000000000000000 WIMG:0
12801.00ns INFO [00001600] GPR Update: R09=0000000000020000
12809.00ns INFO [00001601] C0: CP 0:0038A4 00000000000038A4
0001FFA0
0001FFA0, 9921000D000000000001FFB839200000, 0000000011110000
0001FFA0, 9921000D, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 0001FFB8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
131000
12817.00ns INFO [00001602] Mem Update: @0001FFA8 0001FFB8->0001FFB8
0001FFAC, 39200000, 0000
0000
12817.00ns INFO [00001602] C0: CP 0:0038A8 00000000000038A8
12817.00ns INFO [00001602] GPR Update: R09=0000000000000C00
12825.00ns INFO [00001603] C0: CP 0:0038AC 00000000000038AC
12825.00ns INFO [00001603] CR Update: F0=4
12865.00ns INFO [00001608] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=392000009921000D0000000000000D2000000000000000000000000000000000 WIMG:0
0001FFB0
0001FFB0, 392000009921000D0000000000000D20, 0000000000001111
0001FFB0, 39200000, 0000
0000
0001FFB4, 9921000D, 0000
0000
0001FFB8, 00000000, 0000
0000
0001FFBC, 00000D20, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3360
12881.00ns INFO [00001610] Mem Update: @0001FFBC 00000D18->00000D20
12881.00ns INFO [00001610] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 74000000 00000000 0001FFB8 00000000 00000074 00000000 0001FFF8 00000D20 t..................t...........
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
12929.00ns INFO [00001616] T0 STORE 0001FFB0 tag=00 len=4 be=F0000000 data=00000066392000009921000D0000000000000000000000000000000000000000 WIMG:0
0001FFB0
0001FFB0, 00000066392000009921000D00000000, 1111000000000000
0001FFB0, 00000066, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
102
12945.00ns INFO [00001618] Mem Update: @0001FFB0 00000074->00000066
0001FFB4, 39200000, 0000
0000
0001FFB8, 9921000D, 0000
0000
0001FFBC, 00000000, 0000
0000
12945.00ns INFO [00001618] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 74000000 00000000 0001FFB8 00000000 00000066 00000000 0001FFF8 00000D20 t..................f...........
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
12945.00ns INFO [00001618] C0: CP 0:0038B0 00000000000038B0
12953.00ns INFO [00001619] GPR Update: R09=0000000000020000
12993.00ns INFO [00001624] C0: CP 0:0038B4 00000000000038B4
13001.00ns INFO [00001625] T0 IFETCH 00000D40 tag=08 len=6 WIMG:0
13001.00ns INFO [00001625] GPR Update: R09=0000000000000C00
13049.00ns INFO [00001631] C0: CP 0:0038B8 1:0038BC 00000000000038B8
13057.00ns INFO [00001632] GPR Update: R10=0000000000000066
13065.00ns INFO [00001633] C0: CP 0:0038C0 1:0038C4 00000000000038C0
13073.00ns INFO [00001634] C0: CP 0:0038C8 1:000C00 00000000000038C8
13073.00ns INFO [00001634] GPR Update: R03=0000000000000066
13073.00ns INFO [00001634] CTR Update:0000000000000C00
13081.00ns INFO [00001635] C0: CP 0:000C04 0000000000000C04
13081.00ns INFO [00001635] GPR Update: R01=000000000001FF98
13081.00ns INFO [00001635] LR Update:00000000000038CC
13089.00ns INFO [00001636] GPR Update: R09=0000000000000066
13113.00ns INFO [00001639] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=60000000000000000001FFA87D3D43A600000000000000000000000000000000 WIMG:0
13113.00ns INFO [00001639] C0: CP 0:000C08 1:000C0C 0000000000000C08
13121.00ns INFO [00001640] C0: CP 0:000C10 1:000C14 0000000000000C10
13121.00ns INFO [00001640] GPR Update: R09=0000000000010000
0001FF90
0001FF90, 60000000000000000001FFA87D3D43A6, 0000000011110000
0001FF90, 60000000, 0000
0000
0001FF94, 00000000, 0000
0000
0001FF98, 0001FFA8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130984
13129.00ns INFO [00001641] Mem Update: @0001FF98 0001FFA8->0001FFA8
0001FF9C, 7D3D43A6, 0000
0000
13129.00ns INFO [00001641] C0: CP 0:000C18 1:000C1C 0000000000000C18
13129.00ns INFO [00001641] GPR Update: R08=000000000001C003
13129.00ns INFO [00001641] GPR Update: R09=000000000001C002
13137.00ns INFO [00001642] GPR Update: R10=0000000000010000
13153.00ns INFO [00001644] T0 IFETCH 00000D80 tag=09 len=6 WIMG:0
13257.00ns INFO [00001657] T0 STORE 0001FFA0 tag=00 len=1 be=80000000 data=669121001C8121001C0000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 669121001C8121001C00000000000000, 1000000000000000
0001FFA0, 66912100, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
1711276032
13273.00ns INFO [00001659] Mem Update: @0001FFA0 74000000->66000000
0001FFA4, 1C812100, 0000
0000
0001FFA8, 1C000000, 0000
0000
0001FFAC, 00000000, 0000
0000
13273.00ns INFO [00001659] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 66000000 00000000 0001FFB8 00000000 00000066 00000000 0001FFF8 00000D20 f..................f...........
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13321.00ns INFO [00001665] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0039121001C8121001C0000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C0039121001C8121001C00000000, 1111000000000000
00012000, 0001C003, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114691
13337.00ns INFO [00001667] Mem Update: @00012000 0001C002->0001C003
00012004, 9121001C, 0000
0000
00012008, 8121001C, 0000
0000
0001200C, 00000000, 0000
0000
13353.00ns INFO [00001669] C0: CP 0:000C20 0000000000000C20
13361.00ns INFO [00001670] GPR Update: R10=0000000000000066
13369.00ns INFO [00001671] C0: CP 0:000C24 1:000C28 0000000000000C24
13377.00ns INFO [00001672] C0: CP 0:000C2C 1:000C30 0000000000000C2C
13385.00ns INFO [00001673] C0: CP 0:0038CC 1:0038D0 00000000000038CC
13385.00ns INFO [00001673] GPR Update: R01=000000000001FFA8
13393.00ns INFO [00001674] C0: CP 0:0038D4 1:0038D8 00000000000038D4
13393.00ns INFO [00001674] GPR Update: R03=0000000000000066
13393.00ns INFO [00001674] GPR Update: R09=0000000000000066
13401.00ns INFO [00001675] C0: CP 0:0038DC 1:0038E0 00000000000038DC
13401.00ns INFO [00001675] GPR Update: R00=0000000000000D20
13401.00ns INFO [00001675] LR Update:0000000000000D20
13409.00ns INFO [00001676] GPR Update: R01=000000000001FFB8
13417.00ns INFO [00001677] T0 STORE 0001C002 tag=00 len=1 be=20000000 data=0000669121001C8121001C000000000000000000000000000000000000000000 WIMG:0
0001C000
0001C000, 0000669121001C8121001C0000000000, 0010000000000000
0001C000, 00006691, 0010
0010
0
0
0
65280
0000FF00
77740000
26112
13433.00ns INFO [00001679] Mem Update: @0001C000 77740000->77746600
0001C004, 21001C81, 0000
0000
0001C008, 21001C00, 0000
0000
0001C00C, 00000000, 0000
0000
13433.00ns INFO [00001679] Print buffer:
0001C000: 77746600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 wtf.............................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
13513.00ns INFO [00001689] C0: CP 0:000D20 1:000D24 0000000000000D20
13521.00ns INFO [00001690] GPR Update: R03=000000000000000A
13521.00ns INFO [00001690] LR Update:0000000000000D28
13537.00ns INFO [00001692] T0 IFETCH 00003AC0 tag=08 len=6 WIMG:0
13545.00ns INFO [00001693] C0: CP 0:003890 0000000000003890
13553.00ns INFO [00001694] C0: CP 0:003894 0000000000003894
13553.00ns INFO [00001694] GPR Update: R01=000000000001FFA8
13561.00ns INFO [00001695] GPR Update: R00=0000000000000D28
13577.00ns INFO [00001697] C0: CP 0:003898 0000000000003898
13585.00ns INFO [00001698] C0: CP 0:00389C 1:0038A0 000000000000389C
13593.00ns INFO [00001699] GPR Update: R09=0000000000020000
13601.00ns INFO [00001700] ...tick...
13601.00ns INFO [00001700] C0: CP 0:0038A4 00000000000038A4
13609.00ns INFO [00001701] C0: CP 0:0038A8 00000000000038A8
13609.00ns INFO [00001701] GPR Update: R09=0000000000000C00
13617.00ns INFO [00001702] C0: CP 0:0038AC 00000000000038AC
13617.00ns INFO [00001702] CR Update: F0=4
13641.00ns INFO [00001705] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=9921000D000000000001FFB83920000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 9921000D000000000001FFB839200000, 0000000011110000
0001FFA0, 9921000D, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 0001FFB8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
131000
13657.00ns INFO [00001707] Mem Update: @0001FFA8 0001FFB8->0001FFB8
0001FFAC, 39200000, 0000
0000
13681.00ns INFO [00001710] T0 IFETCH 00003B00 tag=09 len=6 WIMG:0
13745.00ns INFO [00001718] C0: CP 0:0038B0 00000000000038B0
13753.00ns INFO [00001719] GPR Update: R09=0000000000020000
13785.00ns INFO [00001723] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=382100804E8000200000000000000D2800000000000000000000000000000000 WIMG:0
13793.00ns INFO [00001724] C0: CP 0:0038B4 00000000000038B4
0001FFB0
0001FFB0, 382100804E8000200000000000000D28, 0000000000001111
0001FFB0, 38210080, 0000
0000
0001FFB4, 4E800020, 0000
0000
0001FFB8, 00000000, 0000
0000
0001FFBC, 00000D28, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3368
13801.00ns INFO [00001725] Mem Update: @0001FFBC 00000D20->00000D28
13801.00ns INFO [00001725] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 66000000 00000000 0001FFB8 00000000 00000066 00000000 0001FFF8 00000D28 f..................f...........(
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13801.00ns INFO [00001725] GPR Update: R09=0000000000000C00
13849.00ns INFO [00001731] T0 STORE 0001FFB0 tag=00 len=4 be=F0000000 data=0000000A382100804E8000200000000000000000000000000000000000000000 WIMG:0
0001FFB0
0001FFB0, 0000000A382100804E80002000000000, 1111000000000000
0001FFB0, 0000000A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
10
13865.00ns INFO [00001733] Mem Update: @0001FFB0 00000066->0000000A
0001FFB4, 38210080, 0000
0000
0001FFB8, 4E800020, 0000
0000
0001FFBC, 00000000, 0000
0000
13865.00ns INFO [00001733] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 66000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D28 f..............................(
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13945.00ns INFO [00001743] C0: CP 0:0038B8 1:0038BC 00000000000038B8
13953.00ns INFO [00001744] GPR Update: R10=000000000000000A
13961.00ns INFO [00001745] C0: CP 0:0038C0 1:0038C4 00000000000038C0
13969.00ns INFO [00001746] C0: CP 0:0038C8 1:000C00 00000000000038C8
13969.00ns INFO [00001746] GPR Update: R03=000000000000000A
13969.00ns INFO [00001746] CTR Update:0000000000000C00
13977.00ns INFO [00001747] C0: CP 0:000C04 0000000000000C04
13977.00ns INFO [00001747] GPR Update: R01=000000000001FF98
13977.00ns INFO [00001747] LR Update:00000000000038CC
13985.00ns INFO [00001748] GPR Update: R09=000000000000000A
14009.00ns INFO [00001751] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=4E800020000000000001FFA83821008000000000000000000000000000000000 WIMG:0
14009.00ns INFO [00001751] C0: CP 0:000C08 1:000C0C 0000000000000C08
14017.00ns INFO [00001752] C0: CP 0:000C10 1:000C14 0000000000000C10
14017.00ns INFO [00001752] GPR Update: R09=0000000000010000
0001FF90
0001FF90, 4E800020000000000001FFA838210080, 0000000011110000
0001FF90, 4E800020, 0000
0000
0001FF94, 00000000, 0000
0000
0001FF98, 0001FFA8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130984
14025.00ns INFO [00001753] Mem Update: @0001FF98 0001FFA8->0001FFA8
0001FF9C, 38210080, 0000
0000
14025.00ns INFO [00001753] C0: CP 0:000C18 1:000C1C 0000000000000C18
14025.00ns INFO [00001753] GPR Update: R08=000000000001C004
14025.00ns INFO [00001753] GPR Update: R09=000000000001C003
14033.00ns INFO [00001754] GPR Update: R10=0000000000010000
14073.00ns INFO [00001759] T0 STORE 0001FFA0 tag=00 len=1 be=80000000 data=0A382100804E8000200000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0A382100804E80002000000000000000, 1000000000000000
0001FFA0, 0A382100, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
167772160
14089.00ns INFO [00001761] Mem Update: @0001FFA0 66000000->0A000000
0001FFA4, 804E8000, 0000
0000
0001FFA8, 20000000, 0000
0000
0001FFAC, 00000000, 0000
0000
14089.00ns INFO [00001761] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D28 ...............................(
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14137.00ns INFO [00001767] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C004382100804E8000200000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C004382100804E80002000000000, 1111000000000000
00012000, 0001C004, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114692
14153.00ns INFO [00001769] Mem Update: @00012000 0001C003->0001C004
00012004, 38210080, 0000
0000
00012008, 4E800020, 0000
0000
0001200C, 00000000, 0000
0000
14169.00ns INFO [00001771] C0: CP 0:000C20 0000000000000C20
14177.00ns INFO [00001772] GPR Update: R10=000000000000000A
14185.00ns INFO [00001773] C0: CP 0:000C24 1:000C28 0000000000000C24
14193.00ns INFO [00001774] C0: CP 0:000C2C 1:000C30 0000000000000C2C
14201.00ns INFO [00001775] C0: CP 0:0038CC 1:0038D0 00000000000038CC
14201.00ns INFO [00001775] GPR Update: R01=000000000001FFA8
14209.00ns INFO [00001776] C0: CP 0:0038D4 1:0038D8 00000000000038D4
14209.00ns INFO [00001776] GPR Update: R03=000000000000000A
14209.00ns INFO [00001776] GPR Update: R09=000000000000000A
14217.00ns INFO [00001777] C0: CP 0:0038DC 1:0038E0 00000000000038DC
14217.00ns INFO [00001777] GPR Update: R00=0000000000000D28
14217.00ns INFO [00001777] LR Update:0000000000000D28
14225.00ns INFO [00001778] GPR Update: R01=000000000001FFB8
14233.00ns INFO [00001779] T0 STORE 0001C003 tag=00 len=1 be=10000000 data=0000000A382100804E8000200000000000000000000000000000000000000000 WIMG:0
0001C000
0001C000, 0000000A382100804E80002000000000, 0001000000000000
0001C000, 0000000A, 0001
0001
0
0
0
0
000000FF
77746600
10
14249.00ns INFO [00001781] Mem Update: @0001C000 77746600->7774660A
0001C004, 38210080, 0000
0000
0001C008, 4E800020, 0000
0000
0001C00C, 00000000, 0000
0000
14249.00ns INFO [00001781] Print buffer:
0001C000: 7774660A 00000000 00000000 00000000 00000000 00000000 00000000 00000000 wtf.............................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
14361.00ns INFO [00001795] C0: CP 0:000D28 1:000D2C 0000000000000D28
14369.00ns INFO [00001796] C0: CP 0:000D30 1:000D34 0000000000000D30
14369.00ns INFO [00001796] GPR Update: R04=0000000000000000
14369.00ns INFO [00001796] GPR Update: R09=0000000000000000
14377.00ns INFO [00001797] C0: CP 0:000D38 1:003A98 0000000000000D38
14377.00ns INFO [00001797] GPR Update: R03=00000000000008F0
14377.00ns INFO [00001797] CR Update: F1=0
14385.00ns INFO [00001798] T0 IFETCH 00003A20 tag=08 len=6 WIMG:0
14385.00ns INFO [00001798] C0: CP 0:003A9C 0000000000003A9C
14385.00ns INFO [00001798] GPR Update: R01=000000000001FF38
14385.00ns INFO [00001798] LR Update:0000000000000D3C
14393.00ns INFO [00001799] GPR Update: R00=0000000000000D3C
14401.00ns INFO [00001800] ...tick...
14409.00ns INFO [00001801] C0: CP 0:003AA0 0000000000003AA0
14417.00ns INFO [00001802] C0: CP 0:003AA4 0000000000003AA4
14425.00ns INFO [00001803] C0: CP 0:003AA8 0000000000003AA8
14433.00ns INFO [00001804] C0: CP 0:003AAC 0000000000003AAC
14441.00ns INFO [00001805] C0: CP 0:003AB0 0000000000003AB0
14449.00ns INFO [00001806] C0: CP 0:003AB4 0000000000003AB4
14457.00ns INFO [00001807] C0: CP 0:003AB8 0000000000003AB8
14465.00ns INFO [00001808] C0: CP 0:003ABC 0000000000003ABC
14473.00ns INFO [00001809] C0: CP 0:003AC0 1:003AC4 0000000000003AC0
14481.00ns INFO [00001810] C0: CP 0:003AE8 0000000000003AE8
14489.00ns INFO [00001811] T0 STORE 0001FF38 tag=00 len=4 be=00F00000 data=90010124000000000001FFB87C0802A600000000000000000000000000000000 WIMG:0
14489.00ns INFO [00001811] C0: CP 0:003AEC 1:003AF0 0000000000003AEC
14489.00ns INFO [00001811] GPR Update: R09=0000000000000001
14497.00ns INFO [00001812] C0: CP 0:003AF4 1:003AF8 0000000000003AF4
14497.00ns INFO [00001812] GPR Update: R09=0000000000000000
0001FF30
0001FF30, 90010124000000000001FFB87C0802A6, 0000000011110000
0001FF30, 90010124, 0000
0000
0001FF34, 00000000, 0000
0000
0001FF38, 0001FFB8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
131000
14505.00ns INFO [00001813] Mem Update: @0001FF38 XXXXXXXX->0001FFB8
0001FF3C, 7C0802A6, 0000
0000
14505.00ns INFO [00001813] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D28 ...............................(
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14505.00ns INFO [00001813] GPR Update: R09=000000000001FFC0
14529.00ns INFO [00001816] T0 IFETCH 00003A40 tag=09 len=6 WIMG:0
14593.00ns INFO [00001824] C0: CP 0:003AFC 1:003B00 0000000000003AFC
14601.00ns INFO [00001825] GPR Update: R09=000000000001FF58
14633.00ns INFO [00001829] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=994900003921000C0000000000000D3C00000000000000000000000000000000 WIMG:0
0001FFB0
0001FFB0, 994900003921000C0000000000000D3C, 0000000000001111
0001FFB0, 99490000, 0000
0000
0001FFB4, 3921000C, 0000
0000
0001FFB8, 00000000, 0000
0000
0001FFBC, 00000D3C, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3388
14649.00ns INFO [00001831] Mem Update: @0001FFBC 00000D28->00000D3C
14649.00ns INFO [00001831] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14697.00ns INFO [00001837] T0 STORE 0001FF50 tag=00 len=4 be=F0000000 data=000008F0994900003921000C0000000000000000000000000000000000000000 WIMG:0
0001FF50
0001FF50, 000008F0994900003921000C00000000, 1111000000000000
0001FF50, 000008F0, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2288
14713.00ns INFO [00001839] Mem Update: @0001FF50 XXXXXXXX->000008F0
0001FF54, 99490000, 0000
0000
0001FF58, 3921000C, 0000
0000
0001FF5C, 00000000, 0000
0000
14713.00ns INFO [00001839] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 00000000 00000000 00000000 000008F0 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14737.00ns INFO [00001842] T0 IFETCH 00002640 tag=08 len=6 WIMG:0
14737.00ns INFO [00001842] C0: CP 0:003B04 1:003B08 0000000000003B04
14745.00ns INFO [00001843] C0: CP 0:003B0C 0000000000003B0C
14745.00ns INFO [00001843] GPR Update: R09=000000000001FF44
14753.00ns INFO [00001844] GPR Update: R04=000000000001FF44
14841.00ns INFO [00001855] T0 STORE 0001FF5C tag=00 len=4 be=000F0000 data=8081001C80610018000000000000000000000000000000000000000000000000 WIMG:0
0001FF50
0001FF50, 8081001C806100180000000000000000, 0000000000001111
0001FF50, 8081001C, 0000
0000
0001FF54, 80610018, 0000
0000
0001FF58, 00000000, 0000
0000
0001FF5C, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
14857.00ns INFO [00001857] Mem Update: @0001FF5C XXXXXXXX->00000000
14881.00ns INFO [00001860] T0 IFETCH 00002680 tag=09 len=6 WIMG:0
14985.00ns INFO [00001873] T0 STORE 0001FF60 tag=00 len=4 be=F0000000 data=00000000382100304E8000200000000000000000000000000000000000000000 WIMG:0
0001FF60
0001FF60, 00000000382100304E80002000000000, 1111000000000000
0001FF60, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
15001.00ns INFO [00001875] Mem Update: @0001FF60 XXXXXXXX->00000000
0001FF64, 38210030, 0000
0000
0001FF68, 4E800020, 0000
0000
0001FF6C, 00000000, 0000
0000
15025.00ns INFO [00001878] T0 IFETCH 00002AC0 tag=08 len=6 WIMG:0
15129.00ns INFO [00001891] T0 STORE 0001FF64 tag=00 len=4 be=0F000000 data=000000000000000048000D3C8121004800000000000000000000000000000000 WIMG:0
0001FF60
0001FF60, 000000000000000048000D3C81210048, 0000111100000000
0001FF60, 00000000, 0000
0000
0001FF64, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
15145.00ns INFO [00001893] Mem Update: @0001FF64 XXXXXXXX->00000000
0001FF68, 48000D3C, 0000
0000
0001FF6C, 81210048, 0000
0000
15169.00ns INFO [00001896] T0 IFETCH 00002B00 tag=09 len=6 WIMG:0
15201.00ns INFO [00001900] ...tick...
15273.00ns INFO [00001909] T0 STORE 0001FF68 tag=00 len=4 be=00F00000 data=48000CA000000000000000009121004C00000000000000000000000000000000 WIMG:0
0001FF60
0001FF60, 48000CA000000000000000009121004C, 0000000011110000
0001FF60, 48000CA0, 0000
0000
0001FF64, 00000000, 0000
0000
0001FF68, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
15289.00ns INFO [00001911] Mem Update: @0001FF68 XXXXXXXX->00000000
0001FF6C, 9121004C, 0000
0000
15337.00ns INFO [00001917] T0 STORE 0001FF6C tag=00 len=4 be=000F0000 data=9121004C48000CA0000000000001C00400000000000000000000000000000000 WIMG:0
0001FF60
0001FF60, 9121004C48000CA0000000000001C004, 0000000000001111
0001FF60, 9121004C, 0000
0000
0001FF64, 48000CA0, 0000
0000
0001FF68, 00000000, 0000
0000
0001FF6C, 0001C004, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114692
15353.00ns INFO [00001919] Mem Update: @0001FF6C XXXXXXXX->0001C004
15353.00ns INFO [00001919] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 00000000 00000000 00000000 000008F0 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 00000000 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
15401.00ns INFO [00001925] T0 STORE 0001FF70 tag=00 len=4 be=F0000000 data=000000009121004C48000CA00000000000000000000000000000000000000000 WIMG:0
0001FF70
0001FF70, 000000009121004C48000CA000000000, 1111000000000000
0001FF70, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
15417.00ns INFO [00001927] Mem Update: @0001FF70 XXXXXXXX->00000000
0001FF74, 9121004C, 0000
0000
0001FF78, 48000CA0, 0000
0000
0001FF7C, 00000000, 0000
0000
15465.00ns INFO [00001933] T0 STORE 0001FF74 tag=00 len=4 be=0F000000 data=000000000000000A9121004C48000CA000000000000000000000000000000000 WIMG:0
0001FF70
0001FF70, 000000000000000A9121004C48000CA0, 0000111100000000
0001FF70, 00000000, 0000
0000
0001FF74, 0000000A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
10
15481.00ns INFO [00001935] Mem Update: @0001FF74 XXXXXXXX->0000000A
0001FF78, 9121004C, 0000
0000
0001FF7C, 48000CA0, 0000
0000
15481.00ns INFO [00001935] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 00000000 00000000 00000000 000008F0 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
15529.00ns INFO [00001941] T0 STORE 0001FF44 tag=00 len=1 be=08000000 data=00000000019121004C48000CA000000000000000000000000000000000000000 WIMG:0
0001FF40
0001FF40, 00000000019121004C48000CA0000000, 0000100000000000
0001FF40, 00000000, 0000
0000
0001FF44, 01912100, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
16777216
15545.00ns INFO [00001943] Mem Update: @0001FF44 XXXXXXXX->01000000
0001FF48, 4C48000C, 0000
0000
0001FF4C, A0000000, 0000
0000
15545.00ns INFO [00001943] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 01000000 00000000 00000000 000008F0 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
15593.00ns INFO [00001949] T0 STORE 0001FF45 tag=00 len=1 be=04000000 data=0000000000009121004C48000CA0000000000000000000000000000000000000 WIMG:0
0001FF40
0001FF40, 0000000000009121004C48000CA00000, 0000010000000000
0001FF40, 00000000, 0000
0000
0001FF44, 00009121, 0100
0100
0
0
65280
16711680
00FF0000
01000000
0
15609.00ns INFO [00001951] Mem Update: @0001FF44 01000000->01000000
0001FF48, 004C4800, 0000
0000
0001FF4C, 0CA00000, 0000
0000
15657.00ns INFO [00001957] T0 STORE 0001FF48 tag=00 len=4 be=00F00000 data=48000CA0000000000001FFC09121004C00000000000000000000000000000000 WIMG:0
0001FF40
0001FF40, 48000CA0000000000001FFC09121004C, 0000000011110000
0001FF40, 48000CA0, 0000
0000
0001FF44, 00000000, 0000
0000
0001FF48, 0001FFC0, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
131008
15673.00ns INFO [00001959] Mem Update: @0001FF48 XXXXXXXX->0001FFC0
0001FF4C, 9121004C, 0000
0000
15673.00ns INFO [00001959] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 01000000 0001FFC0 00000000 000008F0 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
15721.00ns INFO [00001965] T0 STORE 0001FF4C tag=00 len=4 be=000F0000 data=9121004C48000CA0000000000001FF5800000000000000000000000000000000 WIMG:0
0001FF40
0001FF40, 9121004C48000CA0000000000001FF58, 0000000000001111
0001FF40, 9121004C, 0000
0000
0001FF44, 48000CA0, 0000
0000
0001FF48, 00000000, 0000
0000
0001FF4C, 0001FF58, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130904
15737.00ns INFO [00001967] Mem Update: @0001FF4C XXXXXXXX->0001FF58
15737.00ns INFO [00001967] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
15777.00ns INFO [00001972] T0 LOAD 0001FF50 tag=00 len=4 WIMG:0
15881.00ns INFO [00001985] C0: CP 0:003B10 1:003B14 0000000000003B10
15889.00ns INFO [00001986] C0: CP 0:003A2C 1:003A30 0000000000003A2C
15889.00ns INFO [00001986] GPR Update: R03=00000000000008F0
15889.00ns INFO [00001986] LR Update:0000000000003B18
15897.00ns INFO [00001987] C0: CP 0:003A34 0000000000003A34
15897.00ns INFO [00001987] GPR Update: R00=0000000000003B18
15897.00ns INFO [00001987] GPR Update: R01=000000000001FE18
15913.00ns INFO [00001989] C0: CP 0:003A38 0000000000003A38
15921.00ns INFO [00001990] C0: CP 0:003A3C 1:003A40 0000000000003A3C
15929.00ns INFO [00001991] T0 STORE 0001FE18 tag=00 len=4 be=00F00000 data=0000000A000000000001FF380000000000000000000000000000000000000000 WIMG:0
15929.00ns INFO [00001991] GPR Update: R09=000000000001FE24
0001FE10
0001FE10, 0000000A000000000001FF3800000000, 0000000011110000
0001FE10, 0000000A, 0000
0000
0001FE14, 00000000, 0000
0000
0001FE18, 0001FF38, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130872
15945.00ns INFO [00001993] Mem Update: @0001FE18 XXXXXXXX->0001FF38
0001FE1C, 00000000, 0000
0000
15945.00ns INFO [00001993] Stack:
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ...........................8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16001.00ns INFO [00002000] ...tick...
16001.00ns INFO [00002000] T0 STORE 0001FF3C tag=00 len=4 be=000F0000 data=000000000000000A0000000000003B1800000000000000000000000000000000 WIMG:0
0001FF30
0001FF30, 000000000000000A0000000000003B18, 0000000000001111
0001FF30, 00000000, 0000
0000
0001FF34, 0000000A, 0000
0000
0001FF38, 00000000, 0000
0000
0001FF3C, 00003B18, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
15128
16017.00ns INFO [00002002] Mem Update: @0001FF3C XXXXXXXX->00003B18
16017.00ns INFO [00002002] Stack:
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ...........................8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00003B18 ..............................;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16065.00ns INFO [00002008] T0 STORE 0001FF30 tag=00 len=4 be=F0000000 data=000008F0000000000000000A0000000000000000000000000000000000000000 WIMG:0
0001FF30
0001FF30, 000008F0000000000000000A00000000, 1111000000000000
0001FF30, 000008F0, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2288
16081.00ns INFO [00002010] Mem Update: @0001FF30 XXXXXXXX->000008F0
0001FF34, 00000000, 0000
0000
0001FF38, 0000000A, 0000
0000
0001FF3C, 00000000, 0000
0000
16081.00ns INFO [00002010] Stack:
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ...........................8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 00000000 0001FFB8 00003B18 ..............................;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16129.00ns INFO [00002016] T0 STORE 0001FF34 tag=00 len=4 be=0F000000 data=000000000001FF44000000000000000A00000000000000000000000000000000 WIMG:0
0001FF30
0001FF30, 000000000001FF44000000000000000A, 0000111100000000
0001FF30, 00000000, 0000
0000
0001FF34, 0001FF44, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130884
16145.00ns INFO [00002018] Mem Update: @0001FF34 XXXXXXXX->0001FF44
0001FF38, 00000000, 0000
0000
0001FF3C, 0000000A, 0000
0000
16145.00ns INFO [00002018] Stack:
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ...........................8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16185.00ns INFO [00002023] T0 LOAD 0001FF34 tag=00 len=4 WIMG:0
16305.00ns INFO [00002038] C0: CP 0:003A44 0000000000003A44
16313.00ns INFO [00002039] GPR Update: R06=000000000001FF44
16377.00ns INFO [00002047] C0: CP 0:003A48 1:003A4C 0000000000003A48
16385.00ns INFO [00002048] C0: CP 0:003A50 1:003A54 0000000000003A50
16385.00ns INFO [00002048] GPR Update: R04=0000000000000100
16385.00ns INFO [00002048] GPR Update: R05=00000000000008F0
16393.00ns INFO [00002049] C0: CP 0:00264C 1:002650 000000000000264C
16393.00ns INFO [00002049] GPR Update: R03=000000000001FE24
16393.00ns INFO [00002049] LR Update:0000000000003A58
16401.00ns INFO [00002050] C0: CP 0:002654 1:002658 0000000000002654
16401.00ns INFO [00002050] GPR Update: R00=0000000000003A58
16401.00ns INFO [00002050] GPR Update: R01=000000000001FDE8
16409.00ns INFO [00002051] C0: CP 0:00265C 1:002660 000000000000265C
16417.00ns INFO [00002052] C0: CP 0:002664 0000000000002664
16441.00ns INFO [00002055] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=0001FF44000000000001FE18000008F000000000000000000000000000000000 WIMG:0
0001FDE0
0001FDE0, 0001FF44000000000001FE18000008F0, 0000000011110000
0001FDE0, 0001FF44, 0000
0000
0001FDE4, 00000000, 0000
0000
0001FDE8, 0001FE18, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130584
16457.00ns INFO [00002057] Mem Update: @0001FDE8 XXXXXXXX->0001FE18
0001FDEC, 000008F0, 0000
0000
16457.00ns INFO [00002057] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ...........................8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16481.00ns INFO [00002060] T0 IFETCH 000037D0 tag=08 len=6 WIMG:0
16585.00ns INFO [00002073] T0 STORE 0001FE1C tag=00 len=4 be=000F0000 data=814100188121001C0000000000003A5800000000000000000000000000000000 WIMG:0
0001FE10
0001FE10, 814100188121001C0000000000003A58, 0000000000001111
0001FE10, 81410018, 0000
0000
0001FE14, 8121001C, 0000
0000
0001FE18, 00000000, 0000
0000
0001FE1C, 00003A58, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
14936
16601.00ns INFO [00002075] Mem Update: @0001FE1C XXXXXXXX->00003A58
16601.00ns INFO [00002075] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00003A58 ...........................8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16625.00ns INFO [00002078] T0 IFETCH 00003800 tag=09 len=6 WIMG:0
16729.00ns INFO [00002091] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=0001FE24800100647C0803A60000000000000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 0001FE24800100647C0803A600000000, 1111000000000000
0001FE00, 0001FE24, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130596
16745.00ns INFO [00002093] Mem Update: @0001FE00 XXXXXXXX->0001FE24
0001FE04, 80010064, 0000
0000
0001FE08, 7C0803A6, 0000
0000
0001FE0C, 00000000, 0000
0000
16745.00ns INFO [00002093] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 0001FE24 00000000 00000000 00000000 00000000 00000000 0001FF38 00003A58 ...$.......................8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16769.00ns INFO [00002096] T0 IFETCH 00002B40 tag=08 len=6 WIMG:0
16801.00ns INFO [00002100] ...tick...
16873.00ns INFO [00002109] T0 STORE 0001FE04 tag=00 len=4 be=0F000000 data=00000000000001009121001848000C5400000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 00000000000001009121001848000C54, 0000111100000000
0001FE00, 00000000, 0000
0000
0001FE04, 00000100, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
256
16889.00ns INFO [00002111] Mem Update: @0001FE04 XXXXXXXX->00000100
0001FE08, 91210018, 0000
0000
0001FE0C, 48000C54, 0000
0000
16889.00ns INFO [00002111] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 0001FE24 00000100 00000000 00000000 00000000 00000000 0001FF38 00003A58 ...$.......................8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16913.00ns INFO [00002114] T0 IFETCH 00002B80 tag=09 len=6 WIMG:0
17017.00ns INFO [00002127] T0 STORE 0001FE08 tag=00 len=4 be=00F00000 data=3D20000000000000000008F08149000000000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 3D20000000000000000008F081490000, 0000000011110000
0001FE00, 3D200000, 0000
0000
0001FE04, 00000000, 0000
0000
0001FE08, 000008F0, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2288
17033.00ns INFO [00002129] Mem Update: @0001FE08 XXXXXXXX->000008F0
0001FE0C, 81490000, 0000
0000
17033.00ns INFO [00002129] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 0001FE24 00000100 000008F0 00000000 00000000 00000000 0001FF38 00003A58 ...$.......................8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17081.00ns INFO [00002135] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=814900003D200000000000000001FF4400000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 814900003D200000000000000001FF44, 0000000000001111
0001FE00, 81490000, 0000
0000
0001FE04, 3D200000, 0000
0000
0001FE08, 00000000, 0000
0000
0001FE0C, 0001FF44, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130884
17097.00ns INFO [00002137] Mem Update: @0001FE0C XXXXXXXX->0001FF44
17097.00ns INFO [00002137] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17137.00ns INFO [00002142] T0 LOAD 0001FE0C tag=00 len=4 WIMG:0
17233.00ns INFO [00002154] C0: CP 0:002668 0000000000002668
17241.00ns INFO [00002155] GPR Update: R06=000000000001FF44
17329.00ns INFO [00002166] C0: CP 0:00266C 000000000000266C
17337.00ns INFO [00002167] C0: CP 0:002670 0000000000002670
17337.00ns INFO [00002167] GPR Update: R05=00000000000008F0
17345.00ns INFO [00002168] C0: CP 0:002674 1:002678 0000000000002674
17345.00ns INFO [00002168] GPR Update: R04=0000000000000100
17353.00ns INFO [00002169] C0: CP 0:002AC4 1:002AC8 0000000000002AC4
17353.00ns INFO [00002169] GPR Update: R03=000000000001FE24
17353.00ns INFO [00002169] LR Update:000000000000267C
17361.00ns INFO [00002170] C0: CP 0:002ACC 1:002AD0 0000000000002ACC
17361.00ns INFO [00002170] GPR Update: R00=000000000000267C
17361.00ns INFO [00002170] GPR Update: R01=000000000001FD88
17369.00ns INFO [00002171] C0: CP 0:002AD4 0000000000002AD4
17377.00ns INFO [00002172] C0: CP 0:002AD8 0000000000002AD8
17385.00ns INFO [00002173] C0: CP 0:002ADC 0000000000002ADC
17409.00ns INFO [00002176] T0 STORE 0001FD88 tag=00 len=4 be=00F00000 data=00000000000000000001FDE80000000000000000000000000000000000000000 WIMG:0
0001FD80
0001FD80, 00000000000000000001FDE800000000, 0000000011110000
0001FD80, 00000000, 0000
0000
0001FD84, 00000000, 0000
0000
0001FD88, 0001FDE8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130536
17425.00ns INFO [00002178] Mem Update: @0001FD88 XXXXXXXX->0001FDE8
0001FD8C, 00000000, 0000
0000
17425.00ns INFO [00002178] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17489.00ns INFO [00002186] T0 STORE 0001FDEC tag=00 len=4 be=000F0000 data=0000000000000000000000000000267C00000000000000000000000000000000 WIMG:0
0001FDE0
0001FDE0, 0000000000000000000000000000267C, 0000000000001111
0001FDE0, 00000000, 0000
0000
0001FDE4, 00000000, 0000
0000
0001FDE8, 00000000, 0000
0000
0001FDEC, 0000267C, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
9852
17505.00ns INFO [00002188] Mem Update: @0001FDEC XXXXXXXX->0000267C
17505.00ns INFO [00002188] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17529.00ns INFO [00002191] T0 IFETCH 00002C10 tag=08 len=6 WIMG:0
17601.00ns INFO [00002200] ...tick...
17633.00ns INFO [00002204] T0 STORE 0001FDD0 tag=00 len=4 be=F0000000 data=0001FE24392909047D2950AE0000000000000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 0001FE24392909047D2950AE00000000, 1111000000000000
0001FDD0, 0001FE24, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130596
17649.00ns INFO [00002206] Mem Update: @0001FDD0 XXXXXXXX->0001FE24
0001FDD4, 39290904, 0000
0000
0001FDD8, 7D2950AE, 0000
0000
0001FDDC, 00000000, 0000
0000
17649.00ns INFO [00002206] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000000 00000000 00000000 ...................$............
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17673.00ns INFO [00002209] T0 IFETCH 00002C40 tag=09 len=6 WIMG:0
17777.00ns INFO [00002222] T0 STORE 0001FDD4 tag=00 len=4 be=0F000000 data=0000000000000100392900019121005000000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 00000000000001003929000191210050, 0000111100000000
0001FDD0, 00000000, 0000
0000
0001FDD4, 00000100, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
256
17793.00ns INFO [00002224] Mem Update: @0001FDD4 XXXXXXXX->00000100
0001FDD8, 39290001, 0000
0000
0001FDDC, 91210050, 0000
0000
17793.00ns INFO [00002224] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 00000000 00000000 ...................$............
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17841.00ns INFO [00002230] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=9121005000000000000008F03929000100000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 9121005000000000000008F039290001, 0000000011110000
0001FDD0, 91210050, 0000
0000
0001FDD4, 00000000, 0000
0000
0001FDD8, 000008F0, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2288
17857.00ns INFO [00002232] Mem Update: @0001FDD8 XXXXXXXX->000008F0
0001FDDC, 39290001, 0000
0000
17857.00ns INFO [00002232] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 00000000 ...................$............
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17905.00ns INFO [00002238] T0 STORE 0001FDDC tag=00 len=4 be=000F0000 data=3929000191210050000000000001FF4400000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 3929000191210050000000000001FF44, 0000000000001111
0001FDD0, 39290001, 0000
0000
0001FDD4, 91210050, 0000
0000
0001FDD8, 00000000, 0000
0000
0001FDDC, 0001FF44, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130884
17921.00ns INFO [00002240] Mem Update: @0001FDDC XXXXXXXX->0001FF44
17921.00ns INFO [00002240] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17961.00ns INFO [00002245] T0 LOAD 0001FDD4 tag=00 len=4 WIMG:0
18065.00ns INFO [00002258] C0: CP 0:002AE0 0000000000002AE0
18073.00ns INFO [00002259] GPR Update: R09=0000000000000100
18089.00ns INFO [00002261] C0: CP 0:002AE4 0000000000002AE4
18097.00ns INFO [00002262] C0: CP 0:002AE8 0000000000002AE8
18097.00ns INFO [00002262] CR Update: F0=4
18153.00ns INFO [00002269] C0: CP 0:002AF4 0000000000002AF4
18161.00ns INFO [00002270] GPR Update: R09=000000000001FE24
18169.00ns INFO [00002271] C0: CP 0:002AF8 1:002AFC 0000000000002AF8
18177.00ns INFO [00002272] C0: CP 0:002B00 1:002B04 0000000000002B00
18177.00ns INFO [00002272] GPR Update: R10=000000000001FE24
18185.00ns INFO [00002273] GPR Update: R09=000000000001FF24
18193.00ns INFO [00002274] C0: CP 0:002B08 0000000000002B08
18217.00ns INFO [00002277] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE2400000000000000000000000000000000000000000000000000000000 WIMG:0
0001FDA0
0001FDA0, 0001FE24000000000000000000000000, 1111000000000000
0001FDA0, 0001FE24, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130596
18233.00ns INFO [00002279] Mem Update: @0001FDA0 XXXXXXXX->0001FE24
0001FDA4, 00000000, 0000
0000
0001FDA8, 00000000, 0000
0000
0001FDAC, 00000000, 0000
0000
18233.00ns INFO [00002279] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE24 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ...$............................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
18281.00ns INFO [00002285] T0 STORE 0001FDA4 tag=00 len=4 be=0F000000 data=000000000001FF24000000000000000000000000000000000000000000000000 WIMG:0
0001FDA0
0001FDA0, 000000000001FF240000000000000000, 0000111100000000
0001FDA0, 00000000, 0000
0000
0001FDA4, 0001FF24, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130852
18297.00ns INFO [00002287] Mem Update: @0001FDA4 XXXXXXXX->0001FF24
0001FDA8, 00000000, 0000
0000
0001FDAC, 00000000, 0000
0000
18297.00ns INFO [00002287] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE24 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...$...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
18337.00ns INFO [00002292] T0 LOAD 0001FDA4 tag=00 len=4 WIMG:0
18401.00ns INFO [00002300] ...tick...
18449.00ns INFO [00002306] C0: CP 0:002B0C 1:002B10 0000000000002B0C
18457.00ns INFO [00002307] GPR Update: R09=000000000001FE24
18457.00ns INFO [00002307] GPR Update: R10=000000000001FF24
18465.00ns INFO [00002308] C0: CP 0:002B14 0000000000002B14
18473.00ns INFO [00002309] CR Update: F0=4
18481.00ns INFO [00002310] C0: CP 0:002B18 1:0037D4 0000000000002B18
18489.00ns INFO [00002311] C0: CP 0:0037D8 1:0037DC 00000000000037D8
18489.00ns INFO [00002311] GPR Update: R09=00000000000008F0
18497.00ns INFO [00002312] C0: CP 0:0037E0 1:002B38 00000000000037E0
18497.00ns INFO [00002312] GPR Update: R09=000000000000006D
18497.00ns INFO [00002312] CR Update: F0=4
18505.00ns INFO [00002313] C0: CP 0:002B3C 1:002B40 0000000000002B3C
18505.00ns INFO [00002313] GPR Update: R09=00000000000008F0
18513.00ns INFO [00002314] C0: CP 0:002B44 0000000000002B44
18513.00ns INFO [00002314] GPR Update: R09=000000000000006D
18513.00ns INFO [00002314] CR Update: F0=4
18657.00ns INFO [00002332] C0: CP 0:002B48 0000000000002B48
18665.00ns INFO [00002333] C0: CP 0:002B4C 0000000000002B4C
18665.00ns INFO [00002333] GPR Update: R10=000000000001FE24
18673.00ns INFO [00002334] C0: CP 0:002B50 0000000000002B50
18673.00ns INFO [00002334] GPR Update: R09=000000000001FF24
18681.00ns INFO [00002335] C0: CP 0:002B54 0000000000002B54
18681.00ns INFO [00002335] CR Update: F0=8
18833.00ns INFO [00002354] C0: CP 0:002B58 0000000000002B58
18841.00ns INFO [00002355] GPR Update: R09=00000000000008F0
18865.00ns INFO [00002358] C0: CP 0:002B5C 0000000000002B5C
18873.00ns INFO [00002359] C0: CP 0:002B60 0000000000002B60
18873.00ns INFO [00002359] GPR Update: R10=000000000000006D
18881.00ns INFO [00002360] C0: CP 0:002B64 0000000000002B64
18881.00ns INFO [00002360] GPR Update: R09=000000000001FE24
18889.00ns INFO [00002361] C0: CP 0:002B68 1:002B6C 0000000000002B68
18897.00ns INFO [00002362] C0: CP 0:002B70 1:002B74 0000000000002B70
18897.00ns INFO [00002362] GPR Update: R09=000000000001FE25
18905.00ns INFO [00002363] C0: CP 0:0037C8 1:0037CC 00000000000037C8
18913.00ns INFO [00002364] C0: CP 0:0037D0 00000000000037D0
18913.00ns INFO [00002364] GPR Update: R09=00000000000008F1
18945.00ns INFO [00002368] T0 STORE 0001FE24 tag=00 len=1 be=08000000 data=000000006D000000000000000000000000000000000000000000000000000000 WIMG:0
0001FE20
0001FE20, 000000006D0000000000000000000000, 0000100000000000
0001FE20, 00000000, 0000
0000
0001FE24, 6D000000, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
1828716544
18961.00ns INFO [00002370] Mem Update: @0001FE24 XXXXXXXX->6D000000
0001FE28, 00000000, 0000
0000
0001FE2C, 00000000, 0000
0000
18961.00ns INFO [00002370] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE24 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...$...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D000000 00000000 00000000 00000000 00000000 00000000 00000000 ....m...........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
19009.00ns INFO [00002376] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE2500000000000000000000000000000000000000000000000000000000 WIMG:0
0001FDA0
0001FDA0, 0001FE25000000000000000000000000, 1111000000000000
0001FDA0, 0001FE25, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130597
19025.00ns INFO [00002378] Mem Update: @0001FDA0 0001FE24->0001FE25
0001FDA4, 00000000, 0000
0000
0001FDA8, 00000000, 0000
0000
0001FDAC, 00000000, 0000
0000
19025.00ns INFO [00002378] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE25 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...%...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D000000 00000000 00000000 00000000 00000000 00000000 00000000 ....m...........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
19049.00ns INFO [00002381] T0 IFETCH 00002CF0 tag=08 len=6 WIMG:0
19153.00ns INFO [00002394] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=3920FFFF00000000000008F19121002400000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 3920FFFF00000000000008F191210024, 0000000011110000
0001FDD0, 3920FFFF, 0000
0000
0001FDD4, 00000000, 0000
0000
0001FDD8, 000008F1, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2289
19169.00ns INFO [00002396] Mem Update: @0001FDD8 000008F0->000008F1
0001FDDC, 91210024, 0000
0000
19169.00ns INFO [00002396] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE25 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...%...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F1 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D000000 00000000 00000000 00000000 00000000 00000000 00000000 ....m...........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
19193.00ns INFO [00002399] T0 IFETCH 00002D00 tag=09 len=6 WIMG:0
19201.00ns INFO [00002400] ...tick...
19249.00ns INFO [00002406] C0: CP 0:0037D4 00000000000037D4
19257.00ns INFO [00002407] GPR Update: R09=00000000000008F1
19281.00ns INFO [00002410] C0: CP 0:0037D8 00000000000037D8
19289.00ns INFO [00002411] C0: CP 0:0037DC 00000000000037DC
19289.00ns INFO [00002411] GPR Update: R09=0000000000000061
19297.00ns INFO [00002412] C0: CP 0:0037E0 1:002B38 00000000000037E0
19297.00ns INFO [00002412] CR Update: F0=4
19305.00ns INFO [00002413] C0: CP 0:002B3C 1:002B40 0000000000002B3C
19305.00ns INFO [00002413] GPR Update: R09=00000000000008F1
19313.00ns INFO [00002414] C0: CP 0:002B44 0000000000002B44
19313.00ns INFO [00002414] GPR Update: R09=0000000000000061
19313.00ns INFO [00002414] CR Update: F0=4
19457.00ns INFO [00002432] C0: CP 0:002B48 0000000000002B48
19465.00ns INFO [00002433] C0: CP 0:002B4C 0000000000002B4C
19465.00ns INFO [00002433] GPR Update: R10=000000000001FE25
19473.00ns INFO [00002434] C0: CP 0:002B50 0000000000002B50
19473.00ns INFO [00002434] GPR Update: R09=000000000001FF24
19481.00ns INFO [00002435] C0: CP 0:002B54 0000000000002B54
19481.00ns INFO [00002435] CR Update: F0=8
19633.00ns INFO [00002454] C0: CP 0:002B58 0000000000002B58
19641.00ns INFO [00002455] GPR Update: R09=00000000000008F1
19665.00ns INFO [00002458] C0: CP 0:002B5C 0000000000002B5C
19673.00ns INFO [00002459] C0: CP 0:002B60 0000000000002B60
19673.00ns INFO [00002459] GPR Update: R10=0000000000000061
19681.00ns INFO [00002460] C0: CP 0:002B64 0000000000002B64
19681.00ns INFO [00002460] GPR Update: R09=000000000001FE25
19689.00ns INFO [00002461] C0: CP 0:002B68 1:002B6C 0000000000002B68
19697.00ns INFO [00002462] C0: CP 0:002B70 1:002B74 0000000000002B70
19697.00ns INFO [00002462] GPR Update: R09=000000000001FE26
19705.00ns INFO [00002463] C0: CP 0:0037C8 1:0037CC 00000000000037C8
19713.00ns INFO [00002464] C0: CP 0:0037D0 00000000000037D0
19713.00ns INFO [00002464] GPR Update: R09=00000000000008F2
19737.00ns INFO [00002467] T0 STORE 0001FE25 tag=00 len=1 be=04000000 data=0000000000615529077A2C090000000000000000000000000000000000000000 WIMG:0
0001FE20
0001FE20, 0000000000615529077A2C0900000000, 0000010000000000
0001FE20, 00000000, 0000
0000
0001FE24, 00615529, 0100
0100
0
0
65280
16711680
00FF0000
6D000000
6356992
19753.00ns INFO [00002469] Mem Update: @0001FE24 6D000000->6D610000
0001FE28, 077A2C09, 0000
0000
0001FE2C, 00000000, 0000
0000
19753.00ns INFO [00002469] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE25 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...%...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F1 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D610000 00000000 00000000 00000000 00000000 00000000 00000000 ....ma..........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
19801.00ns INFO [00002475] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE265529077A2C0900000000000000000000000000000000000000000000 WIMG:0
0001FDA0
0001FDA0, 0001FE265529077A2C09000000000000, 1111000000000000
0001FDA0, 0001FE26, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130598
19817.00ns INFO [00002477] Mem Update: @0001FDA0 0001FE25->0001FE26
0001FDA4, 5529077A, 0000
0000
0001FDA8, 2C090000, 0000
0000
0001FDAC, 00000000, 0000
0000
19817.00ns INFO [00002477] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE26 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...&...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F1 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D610000 00000000 00000000 00000000 00000000 00000000 00000000 ....ma..........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
19865.00ns INFO [00002483] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F25529077A00000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 2C09000000000000000008F25529077A, 0000000011110000
0001FDD0, 2C090000, 0000
0000
0001FDD4, 00000000, 0000
0000
0001FDD8, 000008F2, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2290
19881.00ns INFO [00002485] Mem Update: @0001FDD8 000008F1->000008F2
0001FDDC, 5529077A, 0000
0000
19881.00ns INFO [00002485] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE26 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...&...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F2 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D610000 00000000 00000000 00000000 00000000 00000000 00000000 ....ma..........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
19961.00ns INFO [00002495] C0: CP 0:0037D4 00000000000037D4
19969.00ns INFO [00002496] GPR Update: R09=00000000000008F2
19993.00ns INFO [00002499] C0: CP 0:0037D8 00000000000037D8
20001.00ns INFO [00002500] ...tick...
20001.00ns INFO [00002500] C0: CP 0:0037DC 00000000000037DC
20001.00ns INFO [00002500] GPR Update: R09=0000000000000069
20009.00ns INFO [00002501] C0: CP 0:0037E0 1:002B38 00000000000037E0
20009.00ns INFO [00002501] CR Update: F0=4
20017.00ns INFO [00002502] C0: CP 0:002B3C 1:002B40 0000000000002B3C
20017.00ns INFO [00002502] GPR Update: R09=00000000000008F2
20025.00ns INFO [00002503] C0: CP 0:002B44 0000000000002B44
20025.00ns INFO [00002503] GPR Update: R09=0000000000000069
20025.00ns INFO [00002503] CR Update: F0=4
20169.00ns INFO [00002521] C0: CP 0:002B48 0000000000002B48
20177.00ns INFO [00002522] C0: CP 0:002B4C 0000000000002B4C
20177.00ns INFO [00002522] GPR Update: R10=000000000001FE26
20185.00ns INFO [00002523] C0: CP 0:002B50 0000000000002B50
20185.00ns INFO [00002523] GPR Update: R09=000000000001FF24
20193.00ns INFO [00002524] C0: CP 0:002B54 0000000000002B54
20193.00ns INFO [00002524] CR Update: F0=8
20345.00ns INFO [00002543] C0: CP 0:002B58 0000000000002B58
20353.00ns INFO [00002544] GPR Update: R09=00000000000008F2
20377.00ns INFO [00002547] C0: CP 0:002B5C 0000000000002B5C
20385.00ns INFO [00002548] C0: CP 0:002B60 0000000000002B60
20385.00ns INFO [00002548] GPR Update: R10=0000000000000069
20393.00ns INFO [00002549] C0: CP 0:002B64 0000000000002B64
20393.00ns INFO [00002549] GPR Update: R09=000000000001FE26
20401.00ns INFO [00002550] C0: CP 0:002B68 1:002B6C 0000000000002B68
20409.00ns INFO [00002551] C0: CP 0:002B70 1:002B74 0000000000002B70
20409.00ns INFO [00002551] GPR Update: R09=000000000001FE27
20417.00ns INFO [00002552] C0: CP 0:0037C8 1:0037CC 00000000000037C8
20425.00ns INFO [00002553] C0: CP 0:0037D0 00000000000037D0
20425.00ns INFO [00002553] GPR Update: R09=00000000000008F3
20441.00ns INFO [00002555] T0 STORE 0001FE26 tag=00 len=1 be=02000000 data=000000000000695529077A2C0900000000000000000000000000000000000000 WIMG:0
0001FE20
0001FE20, 000000000000695529077A2C09000000, 0000001000000000
0001FE20, 00000000, 0000
0000
0001FE24, 00006955, 0010
0010
0
0
0
65280
0000FF00
6D610000
26880
20457.00ns INFO [00002557] Mem Update: @0001FE24 6D610000->6D616900
0001FE28, 29077A2C, 0000
0000
0001FE2C, 09000000, 0000
0000
20457.00ns INFO [00002557] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE26 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...&...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F2 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D616900 00000000 00000000 00000000 00000000 00000000 00000000 ....mai.........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
20505.00ns INFO [00002563] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE275529077A2C0900000000000000000000000000000000000000000000 WIMG:0
0001FDA0
0001FDA0, 0001FE275529077A2C09000000000000, 1111000000000000
0001FDA0, 0001FE27, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130599
20521.00ns INFO [00002565] Mem Update: @0001FDA0 0001FE26->0001FE27
0001FDA4, 5529077A, 0000
0000
0001FDA8, 2C090000, 0000
0000
0001FDAC, 00000000, 0000
0000
20521.00ns INFO [00002565] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE27 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...'...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F2 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D616900 00000000 00000000 00000000 00000000 00000000 00000000 ....mai.........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
20569.00ns INFO [00002571] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F35529077A00000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 2C09000000000000000008F35529077A, 0000000011110000
0001FDD0, 2C090000, 0000
0000
0001FDD4, 00000000, 0000
0000
0001FDD8, 000008F3, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2291
20585.00ns INFO [00002573] Mem Update: @0001FDD8 000008F2->000008F3
0001FDDC, 5529077A, 0000
0000
20585.00ns INFO [00002573] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE27 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...'...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F3 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D616900 00000000 00000000 00000000 00000000 00000000 00000000 ....mai.........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
20665.00ns INFO [00002583] C0: CP 0:0037D4 00000000000037D4
20673.00ns INFO [00002584] GPR Update: R09=00000000000008F3
20697.00ns INFO [00002587] C0: CP 0:0037D8 00000000000037D8
20705.00ns INFO [00002588] C0: CP 0:0037DC 00000000000037DC
20705.00ns INFO [00002588] GPR Update: R09=000000000000006E
20713.00ns INFO [00002589] C0: CP 0:0037E0 1:002B38 00000000000037E0
20713.00ns INFO [00002589] CR Update: F0=4
20721.00ns INFO [00002590] C0: CP 0:002B3C 1:002B40 0000000000002B3C
20721.00ns INFO [00002590] GPR Update: R09=00000000000008F3
20729.00ns INFO [00002591] C0: CP 0:002B44 0000000000002B44
20729.00ns INFO [00002591] GPR Update: R09=000000000000006E
20729.00ns INFO [00002591] CR Update: F0=4
20801.00ns INFO [00002600] ...tick...
20873.00ns INFO [00002609] C0: CP 0:002B48 0000000000002B48
20881.00ns INFO [00002610] C0: CP 0:002B4C 0000000000002B4C
20881.00ns INFO [00002610] GPR Update: R10=000000000001FE27
20889.00ns INFO [00002611] C0: CP 0:002B50 0000000000002B50
20889.00ns INFO [00002611] GPR Update: R09=000000000001FF24
20897.00ns INFO [00002612] C0: CP 0:002B54 0000000000002B54
20897.00ns INFO [00002612] CR Update: F0=8
21049.00ns INFO [00002631] C0: CP 0:002B58 0000000000002B58
21057.00ns INFO [00002632] GPR Update: R09=00000000000008F3
21081.00ns INFO [00002635] C0: CP 0:002B5C 0000000000002B5C
21089.00ns INFO [00002636] C0: CP 0:002B60 0000000000002B60
21089.00ns INFO [00002636] GPR Update: R10=000000000000006E
21097.00ns INFO [00002637] C0: CP 0:002B64 0000000000002B64
21097.00ns INFO [00002637] GPR Update: R09=000000000001FE27
21105.00ns INFO [00002638] C0: CP 0:002B68 1:002B6C 0000000000002B68
21113.00ns INFO [00002639] C0: CP 0:002B70 1:002B74 0000000000002B70
21113.00ns INFO [00002639] GPR Update: R09=000000000001FE28
21121.00ns INFO [00002640] C0: CP 0:0037C8 1:0037CC 00000000000037C8
21129.00ns INFO [00002641] C0: CP 0:0037D0 00000000000037D0
21129.00ns INFO [00002641] GPR Update: R09=00000000000008F4
21145.00ns INFO [00002643] T0 STORE 0001FE27 tag=00 len=1 be=01000000 data=000000000000006E5529077A2C09000000000000000000000000000000000000 WIMG:0
0001FE20
0001FE20, 000000000000006E5529077A2C090000, 0000000100000000
0001FE20, 00000000, 0000
0000
0001FE24, 0000006E, 0001
0001
0
0
0
0
000000FF
6D616900
110
21161.00ns INFO [00002645] Mem Update: @0001FE24 6D616900->6D61696E
0001FE28, 5529077A, 0000
0000
0001FE2C, 2C090000, 0000
0000
21161.00ns INFO [00002645] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE27 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...'...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F3 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 00000000 00000000 00000000 00000000 00000000 00000000 ....main........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
21209.00ns INFO [00002651] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE285529077A2C0900000000000000000000000000000000000000000000 WIMG:0
0001FDA0
0001FDA0, 0001FE285529077A2C09000000000000, 1111000000000000
0001FDA0, 0001FE28, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130600
21225.00ns INFO [00002653] Mem Update: @0001FDA0 0001FE27->0001FE28
0001FDA4, 5529077A, 0000
0000
0001FDA8, 2C090000, 0000
0000
0001FDAC, 00000000, 0000
0000
21225.00ns INFO [00002653] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE28 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...(...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F3 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 00000000 00000000 00000000 00000000 00000000 00000000 ....main........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
21273.00ns INFO [00002659] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F45529077A00000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 2C09000000000000000008F45529077A, 0000000011110000
0001FDD0, 2C090000, 0000
0000
0001FDD4, 00000000, 0000
0000
0001FDD8, 000008F4, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2292
21289.00ns INFO [00002661] Mem Update: @0001FDD8 000008F3->000008F4
0001FDDC, 5529077A, 0000
0000
21289.00ns INFO [00002661] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE28 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...(...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F4 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 00000000 00000000 00000000 00000000 00000000 00000000 ....main........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
21369.00ns INFO [00002671] C0: CP 0:0037D4 00000000000037D4
21377.00ns INFO [00002672] GPR Update: R09=00000000000008F4
21401.00ns INFO [00002675] C0: CP 0:0037D8 00000000000037D8
21409.00ns INFO [00002676] C0: CP 0:0037DC 00000000000037DC
21409.00ns INFO [00002676] GPR Update: R09=0000000000000028
21417.00ns INFO [00002677] C0: CP 0:0037E0 1:002B38 00000000000037E0
21417.00ns INFO [00002677] CR Update: F0=4
21425.00ns INFO [00002678] C0: CP 0:002B3C 1:002B40 0000000000002B3C
21425.00ns INFO [00002678] GPR Update: R09=00000000000008F4
21433.00ns INFO [00002679] C0: CP 0:002B44 1:002B48 0000000000002B44
21433.00ns INFO [00002679] GPR Update: R09=0000000000000028
21433.00ns INFO [00002679] CR Update: F0=4
21441.00ns INFO [00002680] C0: CP 0:002B4C 1:002B50 0000000000002B4C
21441.00ns INFO [00002680] GPR Update: R10=000000000001FE28
21449.00ns INFO [00002681] C0: CP 0:002B54 1:002B58 0000000000002B54
21449.00ns INFO [00002681] GPR Update: R09=000000000001FF24
21449.00ns INFO [00002681] CR Update: F0=8
21457.00ns INFO [00002682] C0: CP 0:002B5C 1:002B60 0000000000002B5C
21457.00ns INFO [00002682] GPR Update: R09=00000000000008F4
21465.00ns INFO [00002683] C0: CP 0:002B64 0000000000002B64
21465.00ns INFO [00002683] GPR Update: R09=000000000001FE28
21465.00ns INFO [00002683] GPR Update: R10=0000000000000028
21513.00ns INFO [00002689] T0 STORE 0001FE28 tag=00 len=1 be=00800000 data=0000000000000000285529077A2C090000000000000000000000000000000000 WIMG:0
0001FE20
0001FE20, 0000000000000000285529077A2C0900, 0000000010000000
0001FE20, 00000000, 0000
0000
0001FE24, 00000000, 0000
0000
0001FE28, 28552907, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
671088640
21529.00ns INFO [00002691] Mem Update: @0001FE28 XXXXXXXX->28000000
0001FE2C, 7A2C0900, 0000
0000
21529.00ns INFO [00002691] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE28 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...(...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F4 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
21529.00ns INFO [00002691] C0: CP 0:002B68 1:002B6C 0000000000002B68
21537.00ns INFO [00002692] GPR Update: R09=000000000001FE29
21553.00ns INFO [00002694] C0: CP 0:002B70 1:002B74 0000000000002B70
21561.00ns INFO [00002695] C0: CP 0:0037C8 1:0037CC 00000000000037C8
21569.00ns INFO [00002696] GPR Update: R09=00000000000008F5
21577.00ns INFO [00002697] C0: CP 0:0037D0 00000000000037D0
21601.00ns INFO [00002700] ...tick...
21609.00ns INFO [00002701] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE295529077A2C0900000000000000000000000000000000000000000000 WIMG:0
0001FDA0
0001FDA0, 0001FE295529077A2C09000000000000, 1111000000000000
0001FDA0, 0001FE29, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130601
21625.00ns INFO [00002703] Mem Update: @0001FDA0 0001FE28->0001FE29
0001FDA4, 5529077A, 0000
0000
0001FDA8, 2C090000, 0000
0000
0001FDAC, 00000000, 0000
0000
21625.00ns INFO [00002703] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F4 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
21673.00ns INFO [00002709] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F55529077A00000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 2C09000000000000000008F55529077A, 0000000011110000
0001FDD0, 2C090000, 0000
0000
0001FDD4, 00000000, 0000
0000
0001FDD8, 000008F5, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2293
21689.00ns INFO [00002711] Mem Update: @0001FDD8 000008F4->000008F5
0001FDDC, 5529077A, 0000
0000
21689.00ns INFO [00002711] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F5 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
21769.00ns INFO [00002721] C0: CP 0:0037D4 00000000000037D4
21777.00ns INFO [00002722] GPR Update: R09=00000000000008F5
21801.00ns INFO [00002725] C0: CP 0:0037D8 1:0037DC 00000000000037D8
21809.00ns INFO [00002726] GPR Update: R09=0000000000000025
21809.00ns INFO [00002726] CR Update: F0=4
21817.00ns INFO [00002727] C0: CP 0:0037E0 1:002B38 00000000000037E0
21825.00ns INFO [00002728] C0: CP 0:002B3C 1:002B40 0000000000002B3C
21825.00ns INFO [00002728] GPR Update: R09=00000000000008F5
21833.00ns INFO [00002729] C0: CP 0:002B44 0000000000002B44
21833.00ns INFO [00002729] GPR Update: R09=0000000000000025
21833.00ns INFO [00002729] CR Update: F0=2
21945.00ns INFO [00002743] C0: CP 0:002B78 0000000000002B78
21953.00ns INFO [00002744] GPR Update: R09=0000000000000000
21969.00ns INFO [00002746] C0: CP 0:002B7C 0000000000002B7C
21985.00ns INFO [00002748] C0: CP 0:002B80 1:002B84 0000000000002B80
21993.00ns INFO [00002749] GPR Update: R09=00000000000008F6
22009.00ns INFO [00002751] T0 STORE 0001FDAC tag=00 len=4 be=000F0000 data=5529077A2C090000000000000000000000000000000000000000000000000000 WIMG:0
22009.00ns INFO [00002751] C0: CP 0:002B88 0000000000002B88
0001FDA0
0001FDA0, 5529077A2C0900000000000000000000, 0000000000001111
0001FDA0, 5529077A, 0000
0000
0001FDA4, 2C090000, 0000
0000
0001FDA8, 00000000, 0000
0000
0001FDAC, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
22025.00ns INFO [00002753] Mem Update: @0001FDAC XXXXXXXX->00000000
22073.00ns INFO [00002759] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F65529077A00000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 2C09000000000000000008F65529077A, 0000000011110000
0001FDD0, 2C090000, 0000
0000
0001FDD4, 00000000, 0000
0000
0001FDD8, 000008F6, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2294
22089.00ns INFO [00002761] Mem Update: @0001FDD8 000008F5->000008F6
0001FDDC, 5529077A, 0000
0000
22089.00ns INFO [00002761] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
22113.00ns INFO [00002764] T0 IFETCH 00002DD0 tag=08 len=6 WIMG:0
22169.00ns INFO [00002771] C0: CP 0:002B8C 0000000000002B8C
22177.00ns INFO [00002772] GPR Update: R09=00000000000008F6
22209.00ns INFO [00002776] C0: CP 0:002B90 0000000000002B90
22217.00ns INFO [00002777] C0: CP 0:002B94 0000000000002B94
22217.00ns INFO [00002777] GPR Update: R09=0000000000000069
22225.00ns INFO [00002778] C0: CP 0:002B98 0000000000002B98
22225.00ns INFO [00002778] GPR Update: R09=0000000000000049
22233.00ns INFO [00002779] C0: CP 0:002B9C 1:002C18 0000000000002B9C
22233.00ns INFO [00002779] CR Update: F0=4
22241.00ns INFO [00002780] C0: CP 0:002C1C 1:002C20 0000000000002C1C
22241.00ns INFO [00002780] GPR Update: R09=FFFFFFFFFFFFFFFF
22249.00ns INFO [00002781] C0: CP 0:002C24 1:002C28 0000000000002C24
22249.00ns INFO [00002781] GPR Update: R09=00000000000008F6
22257.00ns INFO [00002782] C0: CP 0:002C2C 1:002C30 0000000000002C2C
22257.00ns INFO [00002782] GPR Update: R09=0000000000000069
22257.00ns INFO [00002782] GPR Update: R10=0000000000000069
22265.00ns INFO [00002783] GPR Update: R09=0000000000000904
22281.00ns INFO [00002785] T0 STORE 0001FDB0 tag=00 len=4 be=F0000000 data=FFFFFFFF4182005481210050FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FDB0
0001FDB0, FFFFFFFF4182005481210050FFFFFFFF, 1111000000000000
0001FDB0, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
22297.00ns INFO [00002787] Mem Update: @0001FDB0 XXXXXXXX->FFFFFFFF
0001FDB4, 41820054, 0000
0000
0001FDB8, 81210050, 0000
0000
0001FDBC, FFFFFFFF, 0000
0000
22297.00ns INFO [00002787] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 FFFFFFFF 00000000 00000000 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
22329.00ns INFO [00002791] T0 LOAD 0000096D tag=00 len=1 WIMG:0
22401.00ns INFO [00002800] ...tick...
22441.00ns INFO [00002805] C0: CP 0:002C34 0000000000002C34
22449.00ns INFO [00002806] GPR Update: R09=0000000000000002
22457.00ns INFO [00002807] C0: CP 0:002C38 0000000000002C38
22465.00ns INFO [00002808] GPR Update: R09=0000000000000000
22473.00ns INFO [00002809] C0: CP 0:002C3C 0000000000002C3C
22481.00ns INFO [00002810] CR Update: F0=2
22489.00ns INFO [00002811] C0: CP 0:002C40 1:002C5C 0000000000002C40
22497.00ns INFO [00002812] T0 IFETCH 00002E00 tag=09 len=6 WIMG:0
22497.00ns INFO [00002812] C0: CP 0:002C60 1:002C64 0000000000002C60
22497.00ns INFO [00002812] GPR Update: R09=00000000000008F6
22505.00ns INFO [00002813] C0: CP 0:002C68 1:002CF4 0000000000002C68
22505.00ns INFO [00002813] GPR Update: R09=0000000000000069
22505.00ns INFO [00002813] CR Update: F0=4
22513.00ns INFO [00002814] C0: CP 0:002CF8 1:002CFC 0000000000002CF8
22513.00ns INFO [00002814] GPR Update: R09=FFFFFFFFFFFFFFFF
22521.00ns INFO [00002815] C0: CP 0:002D00 1:002D04 0000000000002D00
22521.00ns INFO [00002815] GPR Update: R09=00000000000008F6
22529.00ns INFO [00002816] C0: CP 0:002D08 1:002DDC 0000000000002D08
22529.00ns INFO [00002816] GPR Update: R09=0000000000000069
22529.00ns INFO [00002816] CR Update: F0=4
22537.00ns INFO [00002817] GPR Update: R09=FFFFFFFFFFFFFFFF
22561.00ns INFO [00002820] C0: CP 0:002DE0 0000000000002DE0
22577.00ns INFO [00002822] C0: CP 0:002DE4 0000000000002DE4
22585.00ns INFO [00002823] GPR Update: R09=00000000000008F6
22601.00ns INFO [00002825] T0 STORE 0001FDB4 tag=00 len=4 be=0F000000 data=FFFFFFFFFFFFFFFF418200148121005000000000000000000000000000000000 WIMG:0
22609.00ns INFO [00002826] C0: CP 0:002DE8 0000000000002DE8
0001FDB0
0001FDB0, FFFFFFFFFFFFFFFF4182001481210050, 0000111100000000
0001FDB0, FFFFFFFF, 0000
0000
0001FDB4, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
22617.00ns INFO [00002827] Mem Update: @0001FDB4 XXXXXXXX->FFFFFFFF
0001FDB8, 41820014, 0000
0000
0001FDBC, 81210050, 0000
0000
22617.00ns INFO [00002827] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 FFFFFFFF FFFFFFFF 00000000 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
22617.00ns INFO [00002827] C0: CP 0:002DEC 0000000000002DEC
22617.00ns INFO [00002827] GPR Update: R09=0000000000000069
22625.00ns INFO [00002828] C0: CP 0:002DF0 0000000000002DF0
22625.00ns INFO [00002828] CR Update: F0=4
22641.00ns INFO [00002830] T0 IFETCH 00002E40 tag=08 len=6 WIMG:0
22745.00ns INFO [00002843] T0 STORE 0001FDB8 tag=00 len=4 be=00F00000 data=40820018FFFFFFFFFFFFFFFF2809006C00000000000000000000000000000000 WIMG:0
0001FDB0
0001FDB0, 40820018FFFFFFFFFFFFFFFF2809006C, 0000000011110000
0001FDB0, 40820018, 0000
0000
0001FDB4, FFFFFFFF, 0000
0000
0001FDB8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
22761.00ns INFO [00002845] Mem Update: @0001FDB8 XXXXXXXX->FFFFFFFF
0001FDBC, 2809006C, 0000
0000
22761.00ns INFO [00002845] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
22777.00ns INFO [00002847] C0: CP 0:002DF4 0000000000002DF4
22785.00ns INFO [00002848] T0 IFETCH 00002E80 tag=09 len=6 WIMG:0
22785.00ns INFO [00002848] GPR Update: R09=00000000000008F6
22809.00ns INFO [00002851] C0: CP 0:002DF8 0000000000002DF8
22817.00ns INFO [00002852] C0: CP 0:002DFC 0000000000002DFC
22817.00ns INFO [00002852] GPR Update: R09=0000000000000069
22825.00ns INFO [00002853] C0: CP 0:002E00 0000000000002E00
22825.00ns INFO [00002853] CR Update: F0=8
22977.00ns INFO [00002872] C0: CP 0:002E04 0000000000002E04
22985.00ns INFO [00002873] GPR Update: R09=00000000000008F6
23009.00ns INFO [00002876] C0: CP 0:002E08 0000000000002E08
23017.00ns INFO [00002877] C0: CP 0:002E0C 0000000000002E0C
23017.00ns INFO [00002877] GPR Update: R09=0000000000000069
23025.00ns INFO [00002878] C0: CP 0:002E10 0000000000002E10
23025.00ns INFO [00002878] CR Update: F0=4
23033.00ns INFO [00002879] T0 IFETCH 000033F0 tag=08 len=6 WIMG:0
23177.00ns INFO [00002897] C0: CP 0:002E14 0000000000002E14
23185.00ns INFO [00002898] GPR Update: R09=00000000000008F6
23201.00ns INFO [00002900] ...tick...
23209.00ns INFO [00002901] C0: CP 0:002E18 0000000000002E18
23217.00ns INFO [00002902] C0: CP 0:002E1C 0000000000002E1C
23217.00ns INFO [00002902] GPR Update: R09=0000000000000069
23225.00ns INFO [00002903] C0: CP 0:002E20 0000000000002E20
23225.00ns INFO [00002903] CR Update: F0=4
23233.00ns INFO [00002904] T0 IFETCH 000033F0 tag=08 len=6 WIMG:0
23377.00ns INFO [00002922] C0: CP 0:002E24 0000000000002E24
23385.00ns INFO [00002923] GPR Update: R09=00000000000008F6
23401.00ns INFO [00002925] T0 IFETCH 00002EC0 tag=08 len=6 WIMG:0
23409.00ns INFO [00002926] C0: CP 0:002E28 0000000000002E28
23417.00ns INFO [00002927] C0: CP 0:002E2C 0000000000002E2C
23417.00ns INFO [00002927] GPR Update: R09=0000000000000069
23425.00ns INFO [00002928] C0: CP 0:002E30 0000000000002E30
23425.00ns INFO [00002928] CR Update: F0=8
23569.00ns INFO [00002946] T0 IFETCH 00002F00 tag=09 len=6 WIMG:0
23577.00ns INFO [00002947] C0: CP 0:002E34 0000000000002E34
23585.00ns INFO [00002948] GPR Update: R09=00000000000008F6
23609.00ns INFO [00002951] C0: CP 0:002E38 0000000000002E38
23617.00ns INFO [00002952] C0: CP 0:002E3C 0000000000002E3C
23617.00ns INFO [00002952] GPR Update: R09=0000000000000069
23625.00ns INFO [00002953] C0: CP 0:002E40 1:002E8C 0000000000002E40
23625.00ns INFO [00002953] CR Update: F0=8
23633.00ns INFO [00002954] GPR Update: R09=000000000000000A
23641.00ns INFO [00002955] C0: CP 0:002E90 0000000000002E90
23657.00ns INFO [00002957] C0: CP 0:002E94 0000000000002E94
23665.00ns INFO [00002958] GPR Update: R09=00000000000008F6
23681.00ns INFO [00002960] T0 STORE 0001FD9C tag=00 len=4 be=000F0000 data=4181003081210054000000000000000A00000000000000000000000000000000 WIMG:0
23689.00ns INFO [00002961] C0: CP 0:002E98 1:002E9C 0000000000002E98
0001FD90
0001FD90, 4181003081210054000000000000000A, 0000000000001111
0001FD90, 41810030, 0000
0000
0001FD94, 81210054, 0000
0000
0001FD98, 00000000, 0000
0000
0001FD9C, 0000000A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
10
23697.00ns INFO [00002962] Mem Update: @0001FD9C XXXXXXXX->0000000A
23697.00ns INFO [00002962] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
23697.00ns INFO [00002962] GPR Update: R09=0000000000000044
23705.00ns INFO [00002963] C0: CP 0:002EA0 0000000000002EA0
23713.00ns INFO [00002964] C0: CP 0:002EA4 0000000000002EA4
23713.00ns INFO [00002964] CR Update: F0=8
23721.00ns INFO [00002965] T0 IFETCH 000033F0 tag=08 len=6 WIMG:0
23833.00ns INFO [00002979] C0: CP 0:002EA8 1:002EAC 0000000000002EA8
23841.00ns INFO [00002980] GPR Update: R09=0000000000000000
23841.00ns INFO [00002980] GPR Update: R10=0000000000000110
23849.00ns INFO [00002981] C0: CP 0:002EB0 1:002EB4 0000000000002EB0
23857.00ns INFO [00002982] GPR Update: R09=0000000000000BBC
23889.00ns INFO [00002986] T0 LOAD 00000BBC tag=00 len=4 WIMG:0
24001.00ns INFO [00003000] ...tick...
24009.00ns INFO [00003001] C0: CP 0:002EB8 1:002EBC 0000000000002EB8
24017.00ns INFO [00003002] C0: CP 0:002EC0 0000000000002EC0
24017.00ns INFO [00003002] GPR Update: R09=0000000000000000
24017.00ns INFO [00003002] GPR Update: R10=0000000000002938
24025.00ns INFO [00003003] C0: CP 0:002EC4 0000000000002EC4
24025.00ns INFO [00003003] GPR Update: R09=0000000000000AAC
24033.00ns INFO [00003004] GPR Update: R09=00000000000033E4
24041.00ns INFO [00003005] C0: CP 0:002EC8 0000000000002EC8
24049.00ns INFO [00003006] C0: CP 0:002ECC 0000000000002ECC
24049.00ns INFO [00003006] CTR Update:00000000000033E4
24057.00ns INFO [00003007] T0 IFETCH 00003400 tag=09 len=6 WIMG:0
24225.00ns INFO [00003028] T0 IFETCH 000033E0 tag=08 len=6 WIMG:0
24393.00ns INFO [00003049] T0 IFETCH 00003460 tag=08 len=6 WIMG:0
24433.00ns INFO [00003054] C0: CP 0:0033E4 1:0033E8 00000000000033E4
24441.00ns INFO [00003055] GPR Update: R09=0000000000000002
24465.00ns INFO [00003058] C0: CP 0:0033EC 1:0033F0 00000000000033EC
24505.00ns INFO [00003063] T0 STORE 0001FDAC tag=00 len=4 be=000F0000 data=812100302C09004C000000000000000200000000000000000000000000000000 WIMG:0
0001FDA0
0001FDA0, 812100302C09004C0000000000000002, 0000000000001111
0001FDA0, 81210030, 0000
0000
0001FDA4, 2C09004C, 0000
0000
0001FDA8, 00000000, 0000
0000
0001FDAC, 00000002, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2
24521.00ns INFO [00003065] Mem Update: @0001FDAC 00000000->00000002
24521.00ns INFO [00003065] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
24545.00ns INFO [00003068] T0 IFETCH 00003480 tag=09 len=6 WIMG:0
24569.00ns INFO [00003071] C0: CP 0:00346C 000000000000346C
24633.00ns INFO [00003079] C0: CP 0:003470 1:003474 0000000000003470
24641.00ns INFO [00003080] GPR Update: R09=00000000FFFFFFFF
24641.00ns INFO [00003080] CR Update: F0=8
24649.00ns INFO [00003081] C0: CP 0:003478 0000000000003478
24713.00ns INFO [00003089] T0 IFETCH 00003500 tag=08 len=6 WIMG:0
24801.00ns INFO [00003100] ...tick...
24881.00ns INFO [00003110] T0 IFETCH 00003540 tag=09 len=6 WIMG:0
24905.00ns INFO [00003113] C0: CP 0:003508 1:00350C 0000000000003508
24913.00ns INFO [00003114] GPR Update: R09=00000000FFFFFFFF
24913.00ns INFO [00003114] CR Update: F0=8
24921.00ns INFO [00003115] C0: CP 0:003510 0000000000003510
25049.00ns INFO [00003131] T0 IFETCH 00003590 tag=08 len=6 WIMG:0
25217.00ns INFO [00003152] T0 IFETCH 000035C0 tag=09 len=6 WIMG:0
25249.00ns INFO [00003156] C0: CP 0:003598 1:00359C 0000000000003598
25257.00ns INFO [00003157] GPR Update: R09=00000000FFFFFFFF
25257.00ns INFO [00003157] CR Update: F0=8
25265.00ns INFO [00003158] C0: CP 0:0035A0 00000000000035A0
25385.00ns INFO [00003173] T0 IFETCH 00003610 tag=08 len=6 WIMG:0
25417.00ns INFO [00003177] C0: CP 0:0035A4 1:0035A8 00000000000035A4
25425.00ns INFO [00003178] GPR Update: R09=00000000FFFFFFFF
25425.00ns INFO [00003178] CR Update: F0=8
25433.00ns INFO [00003179] C0: CP 0:0035AC 00000000000035AC
25553.00ns INFO [00003194] T0 IFETCH 00003640 tag=09 len=6 WIMG:0
25585.00ns INFO [00003198] C0: CP 0:003614 1:003618 0000000000003614
25593.00ns INFO [00003199] GPR Update: R09=00000000FFFFFFFF
25593.00ns INFO [00003199] CR Update: F0=8
25601.00ns INFO [00003200] ...tick...
25601.00ns INFO [00003200] C0: CP 0:00361C 000000000000361C
25721.00ns INFO [00003215] T0 IFETCH 00003680 tag=08 len=6 WIMG:0
25889.00ns INFO [00003236] T0 IFETCH 000036C0 tag=09 len=6 WIMG:0
25913.00ns INFO [00003239] C0: CP 0:003684 1:003688 0000000000003684
25921.00ns INFO [00003240] GPR Update: R09=00000000FFFFFFFF
25921.00ns INFO [00003240] CR Update: F0=8
25929.00ns INFO [00003241] C0: CP 0:00368C 000000000000368C
26057.00ns INFO [00003257] T0 IFETCH 00003710 tag=08 len=6 WIMG:0
26225.00ns INFO [00003278] T0 IFETCH 00003740 tag=09 len=6 WIMG:0
26257.00ns INFO [00003282] C0: CP 0:00371C 000000000000371C
26265.00ns INFO [00003283] GPR Update: R09=000000000001FF44
26297.00ns INFO [00003287] C0: CP 0:003720 1:003724 0000000000003720
26305.00ns INFO [00003288] GPR Update: R09=0000000000000001
26305.00ns INFO [00003288] CR Update: F0=8
26313.00ns INFO [00003289] C0: CP 0:003728 0000000000003728
26401.00ns INFO [00003300] ...tick...
26441.00ns INFO [00003305] T0 IFETCH 00003780 tag=08 len=6 WIMG:0
26465.00ns INFO [00003308] C0: CP 0:00372C 000000000000372C
26473.00ns INFO [00003309] GPR Update: R09=000000000001FF44
26497.00ns INFO [00003312] C0: CP 0:003730 0000000000003730
26505.00ns INFO [00003313] C0: CP 0:003734 0000000000003734
26505.00ns INFO [00003313] GPR Update: R10=000000000001FF58
26513.00ns INFO [00003314] C0: CP 0:003738 1:00373C 0000000000003738
26513.00ns INFO [00003314] GPR Update: R09=000000000001FF44
26521.00ns INFO [00003315] C0: CP 0:003740 1:003744 0000000000003740
26521.00ns INFO [00003315] GPR Update: R08=0000000000000002
26521.00ns INFO [00003315] GPR Update: R09=0000000000000001
26529.00ns INFO [00003316] GPR Update: R07=0000000000000002
26529.00ns INFO [00003316] GPR Update: R08=000000000001FF44
26545.00ns INFO [00003318] C0: CP 0:003748 1:00374C 0000000000003748
26553.00ns INFO [00003319] C0: CP 0:003750 1:003754 0000000000003750
26553.00ns INFO [00003319] GPR Update: R09=0000000000000004
26561.00ns INFO [00003320] GPR Update: R09=000000000001FF5C
26585.00ns INFO [00003323] T0 STORE 0001FF44 tag=00 len=1 be=08000000 data=00000000027D4553788081001C00000000000000000000000000000000000000 WIMG:0
26585.00ns INFO [00003323] C0: CP 0:00376C 000000000000376C
26593.00ns INFO [00003324] GPR Update: R09=0000000000000000
0001FF40
0001FF40, 00000000027D4553788081001C000000, 0000100000000000
0001FF40, 00000000, 0000
0000
0001FF44, 027D4553, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
33554432
26601.00ns INFO [00003325] Mem Update: @0001FF44 01000000->02000000
0001FF48, 78808100, 0000
0000
0001FF4C, 1C000000, 0000
0000
26601.00ns INFO [00003325] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
26601.00ns INFO [00003325] C0: CP 0:003770 1:003774 0000000000003770
26609.00ns INFO [00003326] C0: CP 0:003778 1:00377C 0000000000003778
26609.00ns INFO [00003326] GPR Update: R09=0000000000000000
26617.00ns INFO [00003327] C0: CP 0:003780 1:003784 0000000000003780
26617.00ns INFO [00003327] GPR Update: R09=0000000000000002
26625.00ns INFO [00003328] T0 IFETCH 000021C0 tag=08 len=6 WIMG:0
26625.00ns INFO [00003328] C0: CP 0:003788 0000000000003788
26625.00ns INFO [00003328] GPR Update: R09=0000000000000002
26625.00ns INFO [00003328] CR Update: F0=4
26745.00ns INFO [00003343] T0 STORE 0001FD94 tag=00 len=4 be=0F000000 data=0000000000000000418200103D20000000000000000000000000000000000000 WIMG:0
0001FD90
0001FD90, 0000000000000000418200103D200000, 0000111100000000
0001FD90, 00000000, 0000
0000
0001FD94, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
26761.00ns INFO [00003345] Mem Update: @0001FD94 XXXXXXXX->00000000
0001FD98, 41820010, 0000
0000
0001FD9C, 3D200000, 0000
0000
26785.00ns INFO [00003348] T0 IFETCH 000021C0 tag=08 len=6 WIMG:0
26841.00ns INFO [00003355] C0: CP 0:00378C 000000000000378C
26849.00ns INFO [00003356] GPR Update: R09=0000000000000000
26857.00ns INFO [00003357] C0: CP 0:003790 1:003794 0000000000003790
26865.00ns INFO [00003358] GPR Update: R09=0000000000000000
26865.00ns INFO [00003358] XER Update: SO/OV/CA=000 LEN=00
26881.00ns INFO [00003360] C0: CP 0:003798 0000000000003798
26889.00ns INFO [00003361] T0 STORE 0001FD90 tag=00 len=4 be=F0000000 data=00000000418200103D2000000000000000000000000000000000000000000000 WIMG:0
0001FD90
0001FD90, 00000000418200103D20000000000000, 1111000000000000
0001FD90, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
26905.00ns INFO [00003363] Mem Update: @0001FD90 XXXXXXXX->00000000
0001FD94, 41820010, 0000
0000
0001FD98, 3D200000, 0000
0000
0001FD9C, 00000000, 0000
0000
26929.00ns INFO [00003366] T0 IFETCH 00002200 tag=09 len=6 WIMG:0
27033.00ns INFO [00003379] T0 STORE 0001FD94 tag=00 len=4 be=0F000000 data=0000000000000000408100108121007400000000000000000000000000000000 WIMG:0
0001FD90
0001FD90, 00000000000000004081001081210074, 0000111100000000
0001FD90, 00000000, 0000
0000
0001FD94, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
27049.00ns INFO [00003381] Mem Update: @0001FD94 00000000->00000000
0001FD98, 40810010, 0000
0000
0001FD9C, 81210074, 0000
0000
27097.00ns INFO [00003387] T0 STORE 0001FD90 tag=00 len=4 be=F0000000 data=0000000040810010812100740000000000000000000000000000000000000000 WIMG:0
0001FD90
0001FD90, 00000000408100108121007400000000, 1111000000000000
0001FD90, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
27113.00ns INFO [00003389] Mem Update: @0001FD90 00000000->00000000
0001FD94, 40810010, 0000
0000
0001FD98, 81210074, 0000
0000
0001FD9C, 00000000, 0000
0000
27129.00ns INFO [00003391] C0: CP 0:00379C 000000000000379C
27137.00ns INFO [00003392] C0: CP 0:0037A0 00000000000037A0
27137.00ns INFO [00003392] GPR Update: R10=0000000000000000
27145.00ns INFO [00003393] C0: CP 0:0037A4 00000000000037A4
27145.00ns INFO [00003393] GPR Update: R09=0000000000000002
27153.00ns INFO [00003394] C0: CP 0:0037A8 00000000000037A8
27153.00ns INFO [00003394] GPR Update: R08=00000000FFFFFFFF
27161.00ns INFO [00003395] C0: CP 0:0037AC 1:0037B0 00000000000037AC
27161.00ns INFO [00003395] GPR Update: R07=00000000FFFFFFFF
27169.00ns INFO [00003396] C0: CP 0:0037B4 00000000000037B4
27169.00ns INFO [00003396] GPR Update: R05=0000000000000000
27169.00ns INFO [00003396] GPR Update: R06=000000000000000A
27177.00ns INFO [00003397] C0: CP 0:0037B8 1:0037BC 00000000000037B8
27177.00ns INFO [00003397] GPR Update: R04=000000000001FF24
27185.00ns INFO [00003398] C0: CP 0:0021C4 1:0021C8 00000000000021C4
27185.00ns INFO [00003398] GPR Update: R03=000000000001FE29
27185.00ns INFO [00003398] LR Update:00000000000037C0
27193.00ns INFO [00003399] C0: CP 0:0021CC 1:0021D0 00000000000021CC
27193.00ns INFO [00003399] GPR Update: R01=000000000001FCF8
27201.00ns INFO [00003400] ...tick...
27201.00ns INFO [00003400] C0: CP 0:0021D4 1:0021D8 00000000000021D4
27209.00ns INFO [00003401] C0: CP 0:0021DC 00000000000021DC
27225.00ns INFO [00003403] T0 STORE 0001FCF8 tag=00 len=4 be=00F00000 data=81210074000000000001FD884081001000000000000000000000000000000000 WIMG:0
0001FCF0
0001FCF0, 81210074000000000001FD8840810010, 0000000011110000
0001FCF0, 81210074, 0000
0000
0001FCF4, 00000000, 0000
0000
0001FCF8, 0001FD88, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130440
27241.00ns INFO [00003405] Mem Update: @0001FCF8 XXXXXXXX->0001FD88
0001FCFC, 40810010, 0000
0000
27257.00ns INFO [00003407] C0: CP 0:0021E0 00000000000021E0
27265.00ns INFO [00003408] T0 IFETCH 00002240 tag=08 len=6 WIMG:0
27369.00ns INFO [00003421] T0 STORE 0001FD60 tag=00 len=4 be=F0000000 data=0001FE2981210080552907BC0000000000000000000000000000000000000000 WIMG:0
0001FD60
0001FD60, 0001FE2981210080552907BC00000000, 1111000000000000
0001FD60, 0001FE29, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130601
27385.00ns INFO [00003423] Mem Update: @0001FD60 XXXXXXXX->0001FE29
0001FD64, 81210080, 0000
0000
0001FD68, 552907BC, 0000
0000
0001FD6C, 00000000, 0000
0000
27385.00ns INFO [00003423] Stack:
0001FD60: 0001FE29 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ...)............................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
27409.00ns INFO [00003426] T0 IFETCH 00002280 tag=09 len=6 WIMG:0
27513.00ns INFO [00003439] T0 STORE 0001FD64 tag=00 len=4 be=0F000000 data=000000000001FF24812100805529077A00000000000000000000000000000000 WIMG:0
0001FD60
0001FD60, 000000000001FF24812100805529077A, 0000111100000000
0001FD60, 00000000, 0000
0000
0001FD64, 0001FF24, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130852
27529.00ns INFO [00003441] Mem Update: @0001FD64 XXXXXXXX->0001FF24
0001FD68, 81210080, 0000
0000
0001FD6C, 5529077A, 0000
0000
27529.00ns INFO [00003441] Stack:
0001FD60: 0001FE29 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
27577.00ns INFO [00003447] T0 STORE 0001FD68 tag=00 len=4 be=00F00000 data=5529077A00000000000000008121008000000000000000000000000000000000 WIMG:0
0001FD60
0001FD60, 5529077A000000000000000081210080, 0000000011110000
0001FD60, 5529077A, 0000
0000
0001FD64, 00000000, 0000
0000
0001FD68, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
27593.00ns INFO [00003449] Mem Update: @0001FD68 XXXXXXXX->00000000
0001FD6C, 81210080, 0000
0000
27641.00ns INFO [00003455] T0 STORE 0001FD6C tag=00 len=4 be=000F0000 data=812100805529077A000000000000000A00000000000000000000000000000000 WIMG:0
0001FD60
0001FD60, 812100805529077A000000000000000A, 0000000000001111
0001FD60, 81210080, 0000
0000
0001FD64, 5529077A, 0000
0000
0001FD68, 00000000, 0000
0000
0001FD6C, 0000000A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
10
27657.00ns INFO [00003457] Mem Update: @0001FD6C XXXXXXXX->0000000A
27657.00ns INFO [00003457] Stack:
0001FD60: 0001FE29 0001FF24 00000000 0000000A 00000000 00000000 00000000 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
27705.00ns INFO [00003463] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFF812100805529077A0000000000000000000000000000000000000000 WIMG:0
0001FD70
0001FD70, FFFFFFFF812100805529077A00000000, 1111000000000000
0001FD70, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
27721.00ns INFO [00003465] Mem Update: @0001FD70 XXXXXXXX->FFFFFFFF
0001FD74, 81210080, 0000
0000
0001FD78, 5529077A, 0000
0000
0001FD7C, 00000000, 0000
0000
27721.00ns INFO [00003465] Stack:
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF 00000000 00000000 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
27769.00ns INFO [00003471] T0 STORE 0001FD74 tag=00 len=4 be=0F000000 data=00000000FFFFFFFF812100805529077A00000000000000000000000000000000 WIMG:0
0001FD70
0001FD70, 00000000FFFFFFFF812100805529077A, 0000111100000000
0001FD70, 00000000, 0000
0000
0001FD74, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
27785.00ns INFO [00003473] Mem Update: @0001FD74 XXXXXXXX->FFFFFFFF
0001FD78, 81210080, 0000
0000
0001FD7C, 5529077A, 0000
0000
27785.00ns INFO [00003473] Stack:
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000000 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
27833.00ns INFO [00003479] T0 STORE 0001FD78 tag=00 len=4 be=00F00000 data=5529077A00000000000000028121008000000000000000000000000000000000 WIMG:0
0001FD70
0001FD70, 5529077A000000000000000281210080, 0000000011110000
0001FD70, 5529077A, 0000
0000
0001FD74, 00000000, 0000
0000
0001FD78, 00000002, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2
27849.00ns INFO [00003481] Mem Update: @0001FD78 XXXXXXXX->00000002
0001FD7C, 81210080, 0000
0000
27849.00ns INFO [00003481] Stack:
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
27889.00ns INFO [00003486] T0 LOAD 0001FD78 tag=00 len=4 WIMG:0
28001.00ns INFO [00003500] ...tick...
28009.00ns INFO [00003501] C0: CP 0:0021E4 00000000000021E4
28017.00ns INFO [00003502] GPR Update: R09=0000000000000002
28033.00ns INFO [00003504] C0: CP 0:0021E8 00000000000021E8
28041.00ns INFO [00003505] GPR Update: R09=0000000000000000
28049.00ns INFO [00003506] C0: CP 0:0021EC 00000000000021EC
28057.00ns INFO [00003507] C0: CP 0:0021F0 1:002200 00000000000021F0
28057.00ns INFO [00003507] CR Update: F0=2
28065.00ns INFO [00003508] C0: CP 0:002204 1:002208 0000000000002204
28065.00ns INFO [00003508] GPR Update: R09=0000000000000000
28073.00ns INFO [00003509] GPR Update: R09=0000000000000A38
28081.00ns INFO [00003510] C0: CP 0:00220C 1:002210 000000000000220C
28089.00ns INFO [00003511] GPR Update: R09=0000000000000000
28097.00ns INFO [00003512] C0: CP 0:002214 0000000000002214
28105.00ns INFO [00003513] CR Update: F0=2
28113.00ns INFO [00003514] T0 STORE 0001FD08 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000000000A38FFFFFFFF00000000000000000000000000000000 WIMG:0
28113.00ns INFO [00003514] C0: CP 0:002218 1:002228 0000000000002218
28121.00ns INFO [00003515] C0: CP 0:00222C 1:002230 000000000000222C
28121.00ns INFO [00003515] GPR Update: R09=000000000000000A
0001FD00
0001FD00, FFFFFFFF0000000000000A38FFFFFFFF, 0000000011110000
0001FD00, FFFFFFFF, 0000
0000
0001FD04, 00000000, 0000
0000
0001FD08, 00000A38, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2616
28129.00ns INFO [00003516] Mem Update: @0001FD08 XXXXXXXX->00000A38
0001FD0C, FFFFFFFF, 0000
0000
28129.00ns INFO [00003516] Stack:
0001FD00: 00000000 00000000 00000A38 00000000 00000000 00000000 00000000 00000000 ...........8....................
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
28129.00ns INFO [00003516] CR Update: F0=4
28217.00ns INFO [00003527] T0 IFETCH 000022F0 tag=08 len=6 WIMG:0
28265.00ns INFO [00003533] C0: CP 0:002234 1:002238 0000000000002234
28273.00ns INFO [00003534] GPR Update: R09=000000000000000A
28273.00ns INFO [00003534] CR Update: F0=8
28281.00ns INFO [00003535] C0: CP 0:00223C 1:002248 000000000000223C
28289.00ns INFO [00003536] GPR Update: R09=0000000000000002
28297.00ns INFO [00003537] C0: CP 0:00224C 000000000000224C
28305.00ns INFO [00003538] GPR Update: R09=0000000000000000
28313.00ns INFO [00003539] C0: CP 0:002250 0000000000002250
28321.00ns INFO [00003540] C0: CP 0:002254 1:002260 0000000000002254
28321.00ns INFO [00003540] CR Update: F0=2
28329.00ns INFO [00003541] C0: CP 0:002264 1:002268 0000000000002264
28329.00ns INFO [00003541] GPR Update: R09=0000000000000020
28337.00ns INFO [00003542] C0: CP 0:00226C 1:002270 000000000000226C
28337.00ns INFO [00003542] GPR Update: R09=0000000000000000
28345.00ns INFO [00003543] C0: CP 0:002274 1:002278 0000000000002274
28345.00ns INFO [00003543] GPR Update: R09=0000000000000002
28353.00ns INFO [00003544] C0: CP 0:00227C 000000000000227C
28353.00ns INFO [00003544] GPR Update: R09=0000000000000002
28353.00ns INFO [00003544] CR Update: F0=4
28369.00ns INFO [00003546] T0 STORE 0001FD0C tag=00 len=1 be=00080000 data=783929FFFF000000000000002081210000000000000000000000000000000000 WIMG:0
0001FD00
0001FD00, 783929FFFF0000000000000020812100, 0000000000001000
0001FD00, 783929FF, 0000
0000
0001FD04, FF000000, 0000
0000
0001FD08, 00000000, 0000
0000
0001FD0C, 20812100, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
536870912
28385.00ns INFO [00003548] Mem Update: @0001FD0C XXXXXXXX->20000000
28385.00ns INFO [00003548] Stack:
0001FD00: 00000000 00000000 00000A38 20000000 00000000 00000000 00000000 00000000 ...........8 ...................
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
28425.00ns INFO [00003553] T0 STORE 0001FD00 tag=00 len=1 be=80000000 data=00812100783929FFFF0000000000000000000000000000000000000000000000 WIMG:0
0001FD00
0001FD00, 00812100783929FFFF00000000000000, 1000000000000000
0001FD00, 00812100, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
0
28441.00ns INFO [00003555] Mem Update: @0001FD00 XXXXXXXX->00000000
0001FD04, 783929FF, 0000
0000
0001FD08, FF000000, 0000
0000
0001FD0C, 00000000, 0000
0000
28465.00ns INFO [00003558] T0 IFETCH 00002300 tag=09 len=6 WIMG:0
28633.00ns INFO [00003579] T0 IFETCH 00002280 tag=08 len=6 WIMG:0
28801.00ns INFO [00003600] ...tick...
28825.00ns INFO [00003603] C0: CP 0:002280 1:002284 0000000000002280
28833.00ns INFO [00003604] GPR Update: R09=0000000000000000
28833.00ns INFO [00003604] CR Update: F0=2
28841.00ns INFO [00003605] C0: CP 0:002288 0000000000002288
28873.00ns INFO [00003609] C0: CP 0:0022B0 1:0022B4 00000000000022B0
28881.00ns INFO [00003610] GPR Update: R09=0000000000000000
28897.00ns INFO [00003612] T0 IFETCH 00002340 tag=08 len=6 WIMG:0
28897.00ns INFO [00003612] C0: CP 0:0022B8 00000000000022B8
28905.00ns INFO [00003613] C0: CP 0:0022BC 00000000000022BC
28905.00ns INFO [00003613] CR Update: F0=2
28913.00ns INFO [00003614] C0: CP 0:0022D8 1:0022DC 00000000000022D8
28921.00ns INFO [00003615] GPR Update: R09=0000000000000000
28929.00ns INFO [00003616] C0: CP 0:0022E0 00000000000022E0
28937.00ns INFO [00003617] CR Update: F0=2
28945.00ns INFO [00003618] C0: CP 0:0022E4 00000000000022E4
28961.00ns INFO [00003620] C0: CP 0:0022FC 00000000000022FC
28969.00ns INFO [00003621] C0: CP 0:002300 0000000000002300
28969.00ns INFO [00003621] GPR Update: R09=0000000000000002
28977.00ns INFO [00003622] C0: CP 0:002304 0000000000002304
28977.00ns INFO [00003622] GPR Update: R09=0000000000000000
28985.00ns INFO [00003623] CR Update: F0=2
28993.00ns INFO [00003624] C0: CP 0:002308 0000000000002308
29049.00ns INFO [00003631] C0: CP 0:002340 0000000000002340
29057.00ns INFO [00003632] GPR Update: R09=0000000000000000
29065.00ns INFO [00003633] T0 IFETCH 00002380 tag=09 len=6 WIMG:0
29081.00ns INFO [00003635] C0: CP 0:002344 0000000000002344
29097.00ns INFO [00003637] C0: CP 0:002348 1:00234C 0000000000002348
29105.00ns INFO [00003638] GPR Update: R09=0000000000000000
29105.00ns INFO [00003638] CR Update: F0=2
29113.00ns INFO [00003639] C0: CP 0:002350 0000000000002350
29169.00ns INFO [00003646] T0 STORE 0001FD04 tag=00 len=4 be=0F000000 data=0000000000000000814100707D2A4B9600000000000000000000000000000000 WIMG:0
0001FD00
0001FD00, 0000000000000000814100707D2A4B96, 0000111100000000
0001FD00, 00000000, 0000
0000
0001FD04, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
29185.00ns INFO [00003648] Mem Update: @0001FD04 XXXXXXXX->00000000
0001FD08, 81410070, 0000
0000
0001FD0C, 7D2A4B96, 0000
0000
29225.00ns INFO [00003653] T0 LOAD 0001FD04 tag=00 len=4 WIMG:0
29321.00ns INFO [00003665] C0: CP 0:002354 0000000000002354
29329.00ns INFO [00003666] GPR Update: R09=0000000000000000
29337.00ns INFO [00003667] C0: CP 0:002358 0000000000002358
29345.00ns INFO [00003668] GPR Update: R10=0000000000000001
29361.00ns INFO [00003670] C0: CP 0:00235C 1:002360 000000000000235C
29369.00ns INFO [00003671] C0: CP 0:002364 1:002368 0000000000002364
29369.00ns INFO [00003671] GPR Update: R10=000000000001FD00
29377.00ns INFO [00003672] GPR Update: R09=000000000001FD00
29377.00ns INFO [00003672] GPR Update: R10=0000000000000030
29385.00ns INFO [00003673] C0: CP 0:00236C 1:002370 000000000000236C
29401.00ns INFO [00003675] T0 STORE 0001FD04 tag=00 len=4 be=0F000000 data=0000000000000001000000000000000000000000000000000000000000000000 WIMG:0
0001FD00
0001FD00, 00000000000000010000000000000000, 0000111100000000
0001FD00, 00000000, 0000
0000
0001FD04, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
29417.00ns INFO [00003677] Mem Update: @0001FD04 00000000->00000001
0001FD08, 00000000, 0000
0000
0001FD0C, 00000000, 0000
0000
29417.00ns INFO [00003677] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 00000000 00000000 00000000 00000000 ...........8 ...................
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29441.00ns INFO [00003680] T0 IFETCH 000023C0 tag=08 len=6 WIMG:0
29545.00ns INFO [00003693] T0 STORE 0001FD10 tag=00 len=1 be=80000000 data=3081210080712900110000000000000000000000000000000000000000000000 WIMG:0
0001FD10
0001FD10, 30812100807129001100000000000000, 1000000000000000
0001FD10, 30812100, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
805306368
29561.00ns INFO [00003695] Mem Update: @0001FD10 XXXXXXXX->30000000
0001FD14, 80712900, 0000
0000
0001FD18, 11000000, 0000
0000
0001FD1C, 00000000, 0000
0000
29561.00ns INFO [00003695] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29585.00ns INFO [00003698] T0 IFETCH 00002400 tag=09 len=6 WIMG:0
29601.00ns INFO [00003700] ...tick...
29633.00ns INFO [00003704] C0: CP 0:0023C8 00000000000023C8
29641.00ns INFO [00003705] C0: CP 0:0023CC 00000000000023CC
29641.00ns INFO [00003705] GPR Update: R10=0000000000000001
29649.00ns INFO [00003706] C0: CP 0:0023D0 00000000000023D0
29649.00ns INFO [00003706] GPR Update: R09=00000000FFFFFFFF
29657.00ns INFO [00003707] C0: CP 0:0023D4 00000000000023D4
29657.00ns INFO [00003707] CR Update: F0=4
29753.00ns INFO [00003719] T0 IFETCH 00002440 tag=08 len=6 WIMG:0
29825.00ns INFO [00003728] C0: CP 0:0023D8 00000000000023D8
29833.00ns INFO [00003729] GPR Update: R09=0000000000000001
29841.00ns INFO [00003730] C0: CP 0:0023DC 1:0023E0 00000000000023DC
29849.00ns INFO [00003731] GPR Update: R10=00000000FFFFFFFF
29881.00ns INFO [00003735] T0 STORE 0001FD74 tag=00 len=4 be=0F000000 data=0000000000000001912100688121008000000000000000000000000000000000 WIMG:0
0001FD70
0001FD70, 00000000000000019121006881210080, 0000111100000000
0001FD70, 00000000, 0000
0000
0001FD74, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
29897.00ns INFO [00003737] Mem Update: @0001FD74 FFFFFFFF->00000001
0001FD78, 91210068, 0000
0000
0001FD7C, 81210080, 0000
0000
29897.00ns INFO [00003737] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF 00000001 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29985.00ns INFO [00003748] C0: CP 0:0023E4 00000000000023E4
29993.00ns INFO [00003749] C0: CP 0:0023E8 00000000000023E8
29993.00ns INFO [00003749] GPR Update: R09=0000000000000001
30001.00ns INFO [00003750] GPR Update: R09=00000000FFFFFFFE
30009.00ns INFO [00003751] C0: CP 0:0023EC 1:0023F0 00000000000023EC
30017.00ns INFO [00003752] C0: CP 0:0023F4 1:0023F8 00000000000023F4
30017.00ns INFO [00003752] GPR Update: R09=0000000000000002
30025.00ns INFO [00003753] C0: CP 0:0023FC 00000000000023FC
30025.00ns INFO [00003753] GPR Update: R09=0000000000000000
30025.00ns INFO [00003753] CR Update: F0=2
30057.00ns INFO [00003757] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFE91210068812100800000000000000000000000000000000000000000 WIMG:0
0001FD70
0001FD70, FFFFFFFE912100688121008000000000, 1111000000000000
0001FD70, FFFFFFFE, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967294
30073.00ns INFO [00003759] Mem Update: @0001FD70 FFFFFFFF->FFFFFFFE
0001FD74, 91210068, 0000
0000
0001FD78, 81210080, 0000
0000
0001FD7C, 00000000, 0000
0000
30073.00ns INFO [00003759] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFE 00000001 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
30129.00ns INFO [00003766] C0: CP 0:002400 0000000000002400
30177.00ns INFO [00003772] C0: CP 0:00242C 1:002430 000000000000242C
30185.00ns INFO [00003773] GPR Update: R09=00000000FFFFFFFE
30185.00ns INFO [00003773] GPR Update: R10=00000000FFFFFFFD
30201.00ns INFO [00003775] C0: CP 0:002434 1:002438 0000000000002434
30209.00ns INFO [00003776] C0: CP 0:00243C 000000000000243C
30209.00ns INFO [00003776] CR Update: F0=8
30249.00ns INFO [00003781] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFD91210068812100800000000000000000000000000000000000000000 WIMG:0
0001FD70
0001FD70, FFFFFFFD912100688121008000000000, 1111000000000000
0001FD70, FFFFFFFD, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967293
30265.00ns INFO [00003783] Mem Update: @0001FD70 FFFFFFFE->FFFFFFFD
0001FD74, 91210068, 0000
0000
0001FD78, 81210080, 0000
0000
0001FD7C, 00000000, 0000
0000
30265.00ns INFO [00003783] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFD 00000001 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
30305.00ns INFO [00003788] T0 IFETCH 00002480 tag=08 len=6 WIMG:0
30361.00ns INFO [00003795] C0: CP 0:002440 1:002444 0000000000002440
30369.00ns INFO [00003796] GPR Update: R09=0000000000000000
30369.00ns INFO [00003796] CR Update: F0=2
30377.00ns INFO [00003797] C0: CP 0:002448 0000000000002448
30401.00ns INFO [00003800] ...tick...
30401.00ns INFO [00003800] C0: CP 0:002474 1:002478 0000000000002474
30409.00ns INFO [00003801] GPR Update: R09=0000000000000000
30425.00ns INFO [00003803] C0: CP 0:00247C 000000000000247C
30433.00ns INFO [00003804] CR Update: F0=2
30457.00ns INFO [00003807] C0: CP 0:002480 0000000000002480
30473.00ns INFO [00003809] T0 IFETCH 000024C0 tag=09 len=6 WIMG:0
30641.00ns INFO [00003830] T0 IFETCH 00002510 tag=08 len=6 WIMG:0
30809.00ns INFO [00003851] T0 IFETCH 00002540 tag=09 len=6 WIMG:0
30841.00ns INFO [00003855] C0: CP 0:00251C 000000000000251C
30849.00ns INFO [00003856] C0: CP 0:002520 0000000000002520
30849.00ns INFO [00003856] GPR Update: R09=0000000000000002
30857.00ns INFO [00003857] C0: CP 0:002524 0000000000002524
30857.00ns INFO [00003857] GPR Update: R09=0000000000000000
30865.00ns INFO [00003858] CR Update: F0=2
30873.00ns INFO [00003859] C0: CP 0:002528 0000000000002528
30977.00ns INFO [00003872] T0 IFETCH 00002590 tag=08 len=6 WIMG:0
31009.00ns INFO [00003876] C0: CP 0:00252C 000000000000252C
31089.00ns INFO [00003886] C0: CP 0:002558 1:00255C 0000000000002558
31097.00ns INFO [00003887] GPR Update: R09=00000000FFFFFFFD
31097.00ns INFO [00003887] GPR Update: R10=00000000FFFFFFFC
31113.00ns INFO [00003889] C0: CP 0:002560 1:002564 0000000000002560
31121.00ns INFO [00003890] C0: CP 0:002568 0000000000002568
31121.00ns INFO [00003890] CR Update: F0=8
31153.00ns INFO [00003894] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFC48000038814100680000000000000000000000000000000000000000 WIMG:0
0001FD70
0001FD70, FFFFFFFC480000388141006800000000, 1111000000000000
0001FD70, FFFFFFFC, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967292
31169.00ns INFO [00003896] Mem Update: @0001FD70 FFFFFFFD->FFFFFFFC
0001FD74, 48000038, 0000
0000
0001FD78, 81410068, 0000
0000
0001FD7C, 00000000, 0000
0000
31169.00ns INFO [00003896] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFC 00000001 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
31201.00ns INFO [00003900] ...tick...
31233.00ns INFO [00003904] C0: CP 0:00256C 000000000000256C
31313.00ns INFO [00003914] C0: CP 0:002598 1:00259C 0000000000002598
31321.00ns INFO [00003915] GPR Update: R09=0000000000000001
31321.00ns INFO [00003915] GPR Update: R10=0000000000000000
31337.00ns INFO [00003917] C0: CP 0:0025A0 1:0025A4 00000000000025A0
31345.00ns INFO [00003918] C0: CP 0:0025A8 1:0025AC 00000000000025A8
31345.00ns INFO [00003918] GPR Update: R10=0000000000000001
31353.00ns INFO [00003919] CR Update: F0=2
31393.00ns INFO [00003924] T0 STORE 0001FD74 tag=00 len=4 be=0F000000 data=0000000000000000480000388141006800000000000000000000000000000000 WIMG:0
0001FD70
0001FD70, 00000000000000004800003881410068, 0000111100000000
0001FD70, 00000000, 0000
0000
0001FD74, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
31409.00ns INFO [00003926] Mem Update: @0001FD74 00000001->00000000
0001FD78, 48000038, 0000
0000
0001FD7C, 81410068, 0000
0000
31409.00ns INFO [00003926] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
31433.00ns INFO [00003929] T0 IFETCH 000025E0 tag=08 len=6 WIMG:0
31457.00ns INFO [00003932] C0: CP 0:0025B0 00000000000025B0
31601.00ns INFO [00003950] T0 IFETCH 00002600 tag=09 len=6 WIMG:0
31641.00ns INFO [00003955] C0: CP 0:0025E8 1:0025EC 00000000000025E8
31649.00ns INFO [00003956] GPR Update: R09=0000000000000001
31649.00ns INFO [00003956] GPR Update: R10=0000000000000000
31665.00ns INFO [00003958] C0: CP 0:0025F0 1:0025F4 00000000000025F0
31673.00ns INFO [00003959] C0: CP 0:0025F8 00000000000025F8
31673.00ns INFO [00003959] CR Update: F0=4
31713.00ns INFO [00003964] C0: CP 0:0025B4 00000000000025B4
31721.00ns INFO [00003965] T0 STORE 0001FD04 tag=00 len=4 be=0F000000 data=0000000000000000914100782C09000000000000000000000000000000000000 WIMG:0
31721.00ns INFO [00003965] C0: CP 0:0025B8 00000000000025B8
31721.00ns INFO [00003965] GPR Update: R10=000000000001FE29
31729.00ns INFO [00003966] C0: CP 0:0025BC 00000000000025BC
31729.00ns INFO [00003966] GPR Update: R09=000000000001FF24
0001FD00
0001FD00, 0000000000000000914100782C090000, 0000111100000000
0001FD00, 00000000, 0000
0000
0001FD04, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
31737.00ns INFO [00003967] Mem Update: @0001FD04 00000001->00000000
0001FD08, 91410078, 0000
0000
0001FD0C, 2C090000, 0000
0000
31737.00ns INFO [00003967] Stack:
0001FD00: 00000000 00000000 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
31737.00ns INFO [00003967] C0: CP 0:0025C0 00000000000025C0
31737.00ns INFO [00003967] CR Update: F0=8
31857.00ns INFO [00003982] C0: CP 0:0025C4 00000000000025C4
31865.00ns INFO [00003983] GPR Update: R10=000000000001FD10
31889.00ns INFO [00003986] C0: CP 0:0025C8 00000000000025C8
31897.00ns INFO [00003987] C0: CP 0:0025CC 00000000000025CC
31897.00ns INFO [00003987] GPR Update: R09=0000000000000000
31905.00ns INFO [00003988] GPR Update: R09=000000000001FD10
31945.00ns INFO [00003993] C0: CP 0:0025D0 00000000000025D0
31953.00ns INFO [00003994] C0: CP 0:0025D4 00000000000025D4
31953.00ns INFO [00003994] GPR Update: R10=0000000000000030
31961.00ns INFO [00003995] C0: CP 0:0025D8 00000000000025D8
31961.00ns INFO [00003995] GPR Update: R09=000000000001FE29
31969.00ns INFO [00003996] C0: CP 0:0025DC 1:0025E0 00000000000025DC
31977.00ns INFO [00003997] C0: CP 0:0025E4 00000000000025E4
31977.00ns INFO [00003997] GPR Update: R09=000000000001FE2A
31985.00ns INFO [00003998] C0: CP 0:0025E8 1:0025EC 00000000000025E8
31993.00ns INFO [00003999] C0: CP 0:0025F0 1:0025F4 00000000000025F0
31993.00ns INFO [00003999] GPR Update: R09=0000000000000000
31993.00ns INFO [00003999] GPR Update: R10=FFFFFFFFFFFFFFFF
32001.00ns INFO [00004000] ...tick...
32001.00ns INFO [00004000] C0: CP 0:0025F8 00000000000025F8
32001.00ns INFO [00004000] CR Update: F0=2
32009.00ns INFO [00004001] T0 STORE 0001FE29 tag=00 len=1 be=00400000 data=00000000000000000030914100782C0900000000000000000000000000000000 WIMG:0
0001FE20
0001FE20, 00000000000000000030914100782C09, 0000000001000000
0001FE20, 00000000, 0000
0000
0001FE24, 00000000, 0000
0000
0001FE28, 00309141, 0100
0100
0
0
65280
16711680
00FF0000
28000000
3145728
32025.00ns INFO [00004003] Mem Update: @0001FE28 28000000->28300000
0001FE2C, 00782C09, 0000
0000
32025.00ns INFO [00004003] Stack:
0001FD00: 00000000 00000000 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
32073.00ns INFO [00004009] T0 STORE 0001FD60 tag=00 len=4 be=F0000000 data=0001FE2A914100782C0900000000000000000000000000000000000000000000 WIMG:0
0001FD60
0001FD60, 0001FE2A914100782C09000000000000, 1111000000000000
0001FD60, 0001FE2A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130602
32089.00ns INFO [00004011] Mem Update: @0001FD60 0001FE29->0001FE2A
0001FD64, 91410078, 0000
0000
0001FD68, 2C090000, 0000
0000
0001FD6C, 00000000, 0000
0000
32089.00ns INFO [00004011] Stack:
0001FD00: 00000000 00000000 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
32105.00ns INFO [00004013] C0: CP 0:0025FC 00000000000025FC
32137.00ns INFO [00004017] T0 STORE 0001FD04 tag=00 len=4 be=0F000000 data=FFFFFFFFFFFFFFFF914100782C09000000000000000000000000000000000000 WIMG:0
0001FD00
0001FD00, FFFFFFFFFFFFFFFF914100782C090000, 0000111100000000
0001FD00, FFFFFFFF, 0000
0000
0001FD04, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
32153.00ns INFO [00004019] Mem Update: @0001FD04 00000000->FFFFFFFF
0001FD08, 91410078, 0000
0000
0001FD0C, 2C090000, 0000
0000
32153.00ns INFO [00004019] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
32153.00ns INFO [00004019] C0: CP 0:002628 1:00262C 0000000000002628
32161.00ns INFO [00004020] GPR Update: R09=00000000FFFFFFFC
32161.00ns INFO [00004020] GPR Update: R10=00000000FFFFFFFB
32177.00ns INFO [00004022] C0: CP 0:002630 1:002634 0000000000002630
32185.00ns INFO [00004023] C0: CP 0:002638 0000000000002638
32185.00ns INFO [00004023] CR Update: F0=8
32217.00ns INFO [00004027] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFB914100782C0900000000000000000000000000000000000000000000 WIMG:0
0001FD70
0001FD70, FFFFFFFB914100782C09000000000000, 1111000000000000
0001FD70, FFFFFFFB, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967291
32233.00ns INFO [00004029] Mem Update: @0001FD70 FFFFFFFC->FFFFFFFB
0001FD74, 91410078, 0000
0000
0001FD78, 2C090000, 0000
0000
0001FD7C, 00000000, 0000
0000
32233.00ns INFO [00004029] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
32337.00ns INFO [00004042] C0: CP 0:00263C 000000000000263C
32345.00ns INFO [00004043] C0: CP 0:002640 1:002644 0000000000002640
32345.00ns INFO [00004043] GPR Update: R09=000000000001FE2A
32353.00ns INFO [00004044] C0: CP 0:002648 1:0037C0 0000000000002648
32353.00ns INFO [00004044] GPR Update: R01=000000000001FD88
32353.00ns INFO [00004044] GPR Update: R03=000000000001FE2A
32361.00ns INFO [00004045] GPR Update: R09=000000000001FE2A
32385.00ns INFO [00004048] C0: CP 0:0037C4 1:0037C8 00000000000037C4
32393.00ns INFO [00004049] C0: CP 0:0037CC 00000000000037CC
32393.00ns INFO [00004049] GPR Update: R09=00000000000008F6
32401.00ns INFO [00004050] GPR Update: R09=00000000000008F7
32409.00ns INFO [00004051] C0: CP 0:0037D0 00000000000037D0
32441.00ns INFO [00004055] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE2A914100782C0900000000000000000000000000000000000000000000 WIMG:0
0001FDA0
0001FDA0, 0001FE2A914100782C09000000000000, 1111000000000000
0001FDA0, 0001FE2A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130602
32457.00ns INFO [00004057] Mem Update: @0001FDA0 0001FE29->0001FE2A
0001FDA4, 91410078, 0000
0000
0001FDA8, 2C090000, 0000
0000
0001FDAC, 00000000, 0000
0000
32457.00ns INFO [00004057] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2A 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...*...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
32505.00ns INFO [00004063] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F79141007800000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 2C09000000000000000008F791410078, 0000000011110000
0001FDD0, 2C090000, 0000
0000
0001FDD4, 00000000, 0000
0000
0001FDD8, 000008F7, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2295
32521.00ns INFO [00004065] Mem Update: @0001FDD8 000008F6->000008F7
0001FDDC, 91410078, 0000
0000
32521.00ns INFO [00004065] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2A 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...*...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F7 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
32601.00ns INFO [00004075] C0: CP 0:0037D4 00000000000037D4
32609.00ns INFO [00004076] GPR Update: R09=00000000000008F7
32633.00ns INFO [00004079] C0: CP 0:0037D8 00000000000037D8
32641.00ns INFO [00004080] C0: CP 0:0037DC 00000000000037DC
32641.00ns INFO [00004080] GPR Update: R09=0000000000000029
32649.00ns INFO [00004081] C0: CP 0:0037E0 1:002B38 00000000000037E0
32649.00ns INFO [00004081] CR Update: F0=4
32657.00ns INFO [00004082] C0: CP 0:002B3C 1:002B40 0000000000002B3C
32657.00ns INFO [00004082] GPR Update: R09=00000000000008F7
32665.00ns INFO [00004083] C0: CP 0:002B44 0000000000002B44
32665.00ns INFO [00004083] GPR Update: R09=0000000000000029
32665.00ns INFO [00004083] CR Update: F0=4
32801.00ns INFO [00004100] ...tick...
32809.00ns INFO [00004101] C0: CP 0:002B48 0000000000002B48
32817.00ns INFO [00004102] C0: CP 0:002B4C 0000000000002B4C
32817.00ns INFO [00004102] GPR Update: R10=000000000001FE2A
32825.00ns INFO [00004103] C0: CP 0:002B50 0000000000002B50
32825.00ns INFO [00004103] GPR Update: R09=000000000001FF24
32833.00ns INFO [00004104] C0: CP 0:002B54 0000000000002B54
32833.00ns INFO [00004104] CR Update: F0=8
32985.00ns INFO [00004123] C0: CP 0:002B58 0000000000002B58
32993.00ns INFO [00004124] GPR Update: R09=00000000000008F7
33017.00ns INFO [00004127] C0: CP 0:002B5C 0000000000002B5C
33025.00ns INFO [00004128] C0: CP 0:002B60 0000000000002B60
33025.00ns INFO [00004128] GPR Update: R10=0000000000000029
33033.00ns INFO [00004129] C0: CP 0:002B64 0000000000002B64
33033.00ns INFO [00004129] GPR Update: R09=000000000001FE2A
33041.00ns INFO [00004130] C0: CP 0:002B68 1:002B6C 0000000000002B68
33049.00ns INFO [00004131] C0: CP 0:002B70 1:002B74 0000000000002B70
33049.00ns INFO [00004131] GPR Update: R09=000000000001FE2B
33057.00ns INFO [00004132] C0: CP 0:0037C8 1:0037CC 00000000000037C8
33065.00ns INFO [00004133] C0: CP 0:0037D0 00000000000037D0
33065.00ns INFO [00004133] GPR Update: R09=00000000000008F8
33081.00ns INFO [00004135] T0 STORE 0001FE2A tag=00 len=1 be=00200000 data=0900000000000000000029914100782C00000000000000000000000000000000 WIMG:0
0001FE20
0001FE20, 0900000000000000000029914100782C, 0000000000100000
0001FE20, 09000000, 0000
0000
0001FE24, 00000000, 0000
0000
0001FE28, 00002991, 0010
0010
0
0
0
65280
0000FF00
28300000
10496
33097.00ns INFO [00004137] Mem Update: @0001FE28 28300000->28302900
0001FE2C, 4100782C, 0000
0000
33097.00ns INFO [00004137] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2A 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...*...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F7 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28302900 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
33145.00ns INFO [00004143] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE2B914100782C0900000000000000000000000000000000000000000000 WIMG:0
0001FDA0
0001FDA0, 0001FE2B914100782C09000000000000, 1111000000000000
0001FDA0, 0001FE2B, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130603
33161.00ns INFO [00004145] Mem Update: @0001FDA0 0001FE2A->0001FE2B
0001FDA4, 91410078, 0000
0000
0001FDA8, 2C090000, 0000
0000
0001FDAC, 00000000, 0000
0000
33161.00ns INFO [00004145] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2B 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...+...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F7 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28302900 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
33209.00ns INFO [00004151] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F89141007800000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 2C09000000000000000008F891410078, 0000000011110000
0001FDD0, 2C090000, 0000
0000
0001FDD4, 00000000, 0000
0000
0001FDD8, 000008F8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2296
33225.00ns INFO [00004153] Mem Update: @0001FDD8 000008F7->000008F8
0001FDDC, 91410078, 0000
0000
33225.00ns INFO [00004153] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2B 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...+...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F8 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28302900 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
33305.00ns INFO [00004163] C0: CP 0:0037D4 00000000000037D4
33313.00ns INFO [00004164] GPR Update: R09=00000000000008F8
33337.00ns INFO [00004167] C0: CP 0:0037D8 00000000000037D8
33345.00ns INFO [00004168] C0: CP 0:0037DC 00000000000037DC
33345.00ns INFO [00004168] GPR Update: R09=000000000000000A
33353.00ns INFO [00004169] C0: CP 0:0037E0 1:002B38 00000000000037E0
33353.00ns INFO [00004169] CR Update: F0=4
33361.00ns INFO [00004170] C0: CP 0:002B3C 1:002B40 0000000000002B3C
33361.00ns INFO [00004170] GPR Update: R09=00000000000008F8
33369.00ns INFO [00004171] C0: CP 0:002B44 0000000000002B44
33369.00ns INFO [00004171] GPR Update: R09=000000000000000A
33369.00ns INFO [00004171] CR Update: F0=8
33513.00ns INFO [00004189] C0: CP 0:002B48 0000000000002B48
33521.00ns INFO [00004190] C0: CP 0:002B4C 0000000000002B4C
33521.00ns INFO [00004190] GPR Update: R10=000000000001FE2B
33529.00ns INFO [00004191] C0: CP 0:002B50 0000000000002B50
33529.00ns INFO [00004191] GPR Update: R09=000000000001FF24
33537.00ns INFO [00004192] C0: CP 0:002B54 0000000000002B54
33537.00ns INFO [00004192] CR Update: F0=8
33601.00ns INFO [00004200] ...tick...
33689.00ns INFO [00004211] C0: CP 0:002B58 0000000000002B58
33697.00ns INFO [00004212] GPR Update: R09=00000000000008F8
33721.00ns INFO [00004215] C0: CP 0:002B5C 0000000000002B5C
33729.00ns INFO [00004216] C0: CP 0:002B60 0000000000002B60
33729.00ns INFO [00004216] GPR Update: R10=000000000000000A
33737.00ns INFO [00004217] C0: CP 0:002B64 0000000000002B64
33737.00ns INFO [00004217] GPR Update: R09=000000000001FE2B
33745.00ns INFO [00004218] C0: CP 0:002B68 1:002B6C 0000000000002B68
33753.00ns INFO [00004219] C0: CP 0:002B70 1:002B74 0000000000002B70
33753.00ns INFO [00004219] GPR Update: R09=000000000001FE2C
33761.00ns INFO [00004220] C0: CP 0:0037C8 1:0037CC 00000000000037C8
33769.00ns INFO [00004221] C0: CP 0:0037D0 00000000000037D0
33769.00ns INFO [00004221] GPR Update: R09=00000000000008F9
33785.00ns INFO [00004223] T0 STORE 0001FE2B tag=00 len=1 be=00100000 data=2C090000000000000000000A9141007800000000000000000000000000000000 WIMG:0
0001FE20
0001FE20, 2C090000000000000000000A91410078, 0000000000010000
0001FE20, 2C090000, 0000
0000
0001FE24, 00000000, 0000
0000
0001FE28, 0000000A, 0001
0001
0
0
0
0
000000FF
28302900
10
33801.00ns INFO [00004225] Mem Update: @0001FE28 28302900->2830290A
0001FE2C, 91410078, 0000
0000
33801.00ns INFO [00004225] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2B 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...+...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F8 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
33849.00ns INFO [00004231] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE2C914100782C0900000000000000000000000000000000000000000000 WIMG:0
0001FDA0
0001FDA0, 0001FE2C914100782C09000000000000, 1111000000000000
0001FDA0, 0001FE2C, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130604
33865.00ns INFO [00004233] Mem Update: @0001FDA0 0001FE2B->0001FE2C
0001FDA4, 91410078, 0000
0000
0001FDA8, 2C090000, 0000
0000
0001FDAC, 00000000, 0000
0000
33865.00ns INFO [00004233] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F8 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
33913.00ns INFO [00004239] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F99141007800000000000000000000000000000000 WIMG:0
0001FDD0
0001FDD0, 2C09000000000000000008F991410078, 0000000011110000
0001FDD0, 2C090000, 0000
0000
0001FDD4, 00000000, 0000
0000
0001FDD8, 000008F9, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2297
33929.00ns INFO [00004241] Mem Update: @0001FDD8 000008F8->000008F9
0001FDDC, 91410078, 0000
0000
33929.00ns INFO [00004241] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
34009.00ns INFO [00004251] C0: CP 0:0037D4 00000000000037D4
34017.00ns INFO [00004252] GPR Update: R09=00000000000008F9
34041.00ns INFO [00004255] C0: CP 0:0037D8 00000000000037D8
34049.00ns INFO [00004256] C0: CP 0:0037DC 00000000000037DC
34049.00ns INFO [00004256] GPR Update: R09=0000000000000000
34057.00ns INFO [00004257] C0: CP 0:0037E0 00000000000037E0
34057.00ns INFO [00004257] CR Update: F0=2
34145.00ns INFO [00004268] T0 IFETCH 00003820 tag=08 len=6 WIMG:0
34209.00ns INFO [00004276] C0: CP 0:0037E4 1:0037E8 00000000000037E4
34217.00ns INFO [00004277] GPR Update: R09=0000000000000100
34217.00ns INFO [00004277] CR Update: F0=4
34225.00ns INFO [00004278] C0: CP 0:0037EC 00000000000037EC
34377.00ns INFO [00004297] T0 IFETCH 00002680 tag=08 len=6 WIMG:0
34385.00ns INFO [00004298] C0: CP 0:0037F0 00000000000037F0
34393.00ns INFO [00004299] C0: CP 0:0037F4 00000000000037F4
34393.00ns INFO [00004299] GPR Update: R10=000000000001FE2C
34401.00ns INFO [00004300] ...tick...
34401.00ns INFO [00004300] C0: CP 0:0037F8 00000000000037F8
34401.00ns INFO [00004300] GPR Update: R09=000000000001FF24
34409.00ns INFO [00004301] C0: CP 0:0037FC 00000000000037FC
34409.00ns INFO [00004301] CR Update: F0=8
34545.00ns INFO [00004318] T0 IFETCH 000026C0 tag=09 len=6 WIMG:0
34561.00ns INFO [00004320] C0: CP 0:003800 1:003804 0000000000003800
34569.00ns INFO [00004321] GPR Update: R09=000000000001FE2C
34569.00ns INFO [00004321] GPR Update: R10=0000000000000000
34585.00ns INFO [00004323] C0: CP 0:003808 1:00380C 0000000000003808
34601.00ns INFO [00004325] C0: CP 0:003820 0000000000003820
34609.00ns INFO [00004326] C0: CP 0:003824 0000000000003824
34609.00ns INFO [00004326] GPR Update: R10=000000000001FE2C
34617.00ns INFO [00004327] C0: CP 0:003828 0000000000003828
34617.00ns INFO [00004327] GPR Update: R09=000000000001FE24
34625.00ns INFO [00004328] C0: CP 0:00382C 1:003830 000000000000382C
34625.00ns INFO [00004328] GPR Update: R09=0000000000000008
34633.00ns INFO [00004329] C0: CP 0:003834 1:003838 0000000000003834
34633.00ns INFO [00004329] GPR Update: R00=000000000000267C
34633.00ns INFO [00004329] GPR Update: R03=0000000000000008
34641.00ns INFO [00004330] C0: CP 0:00383C 1:00267C 000000000000383C
34641.00ns INFO [00004330] GPR Update: R01=000000000001FDE8
34641.00ns INFO [00004330] LR Update:000000000000267C
34649.00ns INFO [00004331] T0 STORE 0001FE2C tag=00 len=1 be=00080000 data=50D88100580000000000000000D8610000000000000000000000000000000000 WIMG:0
34649.00ns INFO [00004331] GPR Update: R09=0000000000000008
0001FE20
0001FE20, 50D88100580000000000000000D86100, 0000000000001000
0001FE20, 50D88100, 0000
0000
0001FE24, 58000000, 0000
0000
0001FE28, 00000000, 0000
0000
0001FE2C, 00D86100, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
0
34665.00ns INFO [00004333] Mem Update: @0001FE2C XXXXXXXX->00000000
34689.00ns INFO [00004336] T0 IFETCH 00002680 tag=08 len=6 WIMG:0
34873.00ns INFO [00004359] C0: CP 0:002680 0000000000002680
34913.00ns INFO [00004364] T0 STORE 0001FDF0 tag=00 len=4 be=F0000000 data=00000008382100304E8000200000000000000000000000000000000000000000 WIMG:0
0001FDF0
0001FDF0, 00000008382100304E80002000000000, 1111000000000000
0001FDF0, 00000008, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
8
34929.00ns INFO [00004366] Mem Update: @0001FDF0 XXXXXXXX->00000008
0001FDF4, 38210030, 0000
0000
0001FDF8, 4E800020, 0000
0000
0001FDFC, 00000000, 0000
0000
34929.00ns INFO [00004366] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
34953.00ns INFO [00004369] T0 IFETCH 000039D0 tag=08 len=6 WIMG:0
35009.00ns INFO [00004376] C0: CP 0:002684 0000000000002684
35017.00ns INFO [00004377] C0: CP 0:002688 1:00268C 0000000000002688
35017.00ns INFO [00004377] GPR Update: R10=0000000000000008
35025.00ns INFO [00004378] GPR Update: R09=0000000000000100
35025.00ns INFO [00004378] CR Update: F0=8
35033.00ns INFO [00004379] C0: CP 0:002690 1:0026A0 0000000000002690
35041.00ns INFO [00004380] C0: CP 0:0026A4 1:0026A8 00000000000026A4
35041.00ns INFO [00004380] GPR Update: R09=0000000000000008
35049.00ns INFO [00004381] C0: CP 0:0026AC 1:0026B0 00000000000026AC
35049.00ns INFO [00004381] GPR Update: R00=0000000000003A58
35049.00ns INFO [00004381] GPR Update: R03=0000000000000008
35057.00ns INFO [00004382] C0: CP 0:0026B4 1:003A58 00000000000026B4
35057.00ns INFO [00004382] GPR Update: R01=000000000001FE18
35057.00ns INFO [00004382] LR Update:0000000000003A58
35065.00ns INFO [00004383] GPR Update: R09=0000000000000008
35081.00ns INFO [00004385] C0: CP 0:003A5C 1:003A60 0000000000003A5C
35089.00ns INFO [00004386] GPR Update: R10=000000000001FE24
35121.00ns INFO [00004390] T0 STORE 0001FE20 tag=00 len=4 be=F0000000 data=000000087D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE20
0001FE20, 000000087D234B784BFFFE9D00000000, 1111000000000000
0001FE20, 00000008, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
8
35137.00ns INFO [00004392] Mem Update: @0001FE20 XXXXXXXX->00000008
0001FE24, 7D234B78, 0000
0000
0001FE28, 4BFFFE9D, 0000
0000
0001FE2C, 00000000, 0000
0000
35137.00ns INFO [00004392] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
35201.00ns INFO [00004400] ...tick...
35225.00ns INFO [00004403] C0: CP 0:003A64 0000000000003A64
35233.00ns INFO [00004404] GPR Update: R09=0000000000000008
35241.00ns INFO [00004405] C0: CP 0:003A68 1:003A6C 0000000000003A68
35249.00ns INFO [00004406] GPR Update: R09=000000000001FE2C
35249.00ns INFO [00004406] GPR Update: R10=0000000000000000
35281.00ns INFO [00004410] C0: CP 0:003A70 1:003A74 0000000000003A70
35289.00ns INFO [00004411] C0: CP 0:003A78 1:003A7C 0000000000003A78
35289.00ns INFO [00004411] GPR Update: R09=000000000001FE24
35297.00ns INFO [00004412] C0: CP 0:0039D4 1:0039D8 00000000000039D4
35297.00ns INFO [00004412] GPR Update: R03=000000000001FE24
35297.00ns INFO [00004412] LR Update:0000000000003A80
35305.00ns INFO [00004413] C0: CP 0:0039DC 1:0039E0 00000000000039DC
35305.00ns INFO [00004413] GPR Update: R00=0000000000003A80
35305.00ns INFO [00004413] GPR Update: R01=000000000001FE08
35313.00ns INFO [00004414] C0: CP 0:0039E4 00000000000039E4
35321.00ns INFO [00004415] T0 STORE 0001FE2C tag=00 len=1 be=00080000 data=784BFFFE9D00000000000000007D234B00000000000000000000000000000000 WIMG:0
0001FE20
0001FE20, 784BFFFE9D00000000000000007D234B, 0000000000001000
0001FE20, 784BFFFE, 0000
0000
0001FE24, 9D000000, 0000
0000
0001FE28, 00000000, 0000
0000
0001FE2C, 007D234B, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
0
35337.00ns INFO [00004417] Mem Update: @0001FE2C 00000000->00000000
35385.00ns INFO [00004423] T0 STORE 0001FE08 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE187D234B7800000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 4BFFFE9D000000000001FE187D234B78, 0000000011110000
0001FE00, 4BFFFE9D, 0000
0000
0001FE04, 00000000, 0000
0000
0001FE08, 0001FE18, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130584
35401.00ns INFO [00004425] Mem Update: @0001FE08 000008F0->0001FE18
0001FE0C, 7D234B78, 0000
0000
35401.00ns INFO [00004425] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 0001FE18 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
35449.00ns INFO [00004431] T0 STORE 0001FE1C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D0000000000003A8000000000000000000000000000000000 WIMG:0
0001FE10
0001FE10, 7D234B784BFFFE9D0000000000003A80, 0000000000001111
0001FE10, 7D234B78, 0000
0000
0001FE14, 4BFFFE9D, 0000
0000
0001FE18, 00000000, 0000
0000
0001FE1C, 00003A80, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
14976
35465.00ns INFO [00004433] Mem Update: @0001FE1C 00003A58->00003A80
35465.00ns INFO [00004433] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 0001FE18 0001FF44 00000000 00000000 0001FF38 00003A80 ...$...........D...........8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
35513.00ns INFO [00004439] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE247D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE10
0001FE10, 0001FE247D234B784BFFFE9D00000000, 1111000000000000
0001FE10, 0001FE24, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130596
35529.00ns INFO [00004441] Mem Update: @0001FE10 XXXXXXXX->0001FE24
0001FE14, 7D234B78, 0000
0000
0001FE18, 4BFFFE9D, 0000
0000
0001FE1C, 00000000, 0000
0000
35529.00ns INFO [00004441] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 0001FE18 0001FF44 0001FE24 00000000 0001FF38 00003A80 ...$...........D...$.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
35609.00ns INFO [00004451] C0: CP 0:003A04 0000000000003A04
35617.00ns INFO [00004452] GPR Update: R09=000000000001FE24
35641.00ns INFO [00004455] C0: CP 0:003A08 1:003A0C 0000000000003A08
35649.00ns INFO [00004456] GPR Update: R09=000000000000006D
35649.00ns INFO [00004456] CR Update: F0=4
35657.00ns INFO [00004457] C0: CP 0:003A10 0000000000003A10
35809.00ns INFO [00004476] C0: CP 0:0039E8 00000000000039E8
35817.00ns INFO [00004477] GPR Update: R09=000000000001FE24
35841.00ns INFO [00004480] C0: CP 0:0039EC 00000000000039EC
35849.00ns INFO [00004481] C0: CP 0:0039F0 1:0039F4 00000000000039F0
35849.00ns INFO [00004481] GPR Update: R09=000000000000006D
35857.00ns INFO [00004482] C0: CP 0:003890 1:003894 0000000000003890
35857.00ns INFO [00004482] GPR Update: R03=000000000000006D
35857.00ns INFO [00004482] LR Update:00000000000039F8
35865.00ns INFO [00004483] GPR Update: R00=00000000000039F8
35865.00ns INFO [00004483] GPR Update: R01=000000000001FDF8
35881.00ns INFO [00004485] C0: CP 0:003898 0000000000003898
35889.00ns INFO [00004486] C0: CP 0:00389C 1:0038A0 000000000000389C
35897.00ns INFO [00004487] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
35897.00ns INFO [00004487] GPR Update: R09=0000000000020000
35905.00ns INFO [00004488] C0: CP 0:0038A4 00000000000038A4
0001FDF0
0001FDF0, 4BFFFE9D000000000001FE087D234B78, 0000000011110000
0001FDF0, 4BFFFE9D, 0000
0000
0001FDF4, 00000000, 0000
0000
0001FDF8, 0001FE08, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130568
35913.00ns INFO [00004489] Mem Update: @0001FDF8 XXXXXXXX->0001FE08
0001FDFC, 7D234B78, 0000
0000
35913.00ns INFO [00004489] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0001FE24 00000100 0001FE18 0001FF44 0001FE24 00000000 0001FF38 00003A80 ...$...........D...$.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
35913.00ns INFO [00004489] C0: CP 0:0038A8 00000000000038A8
35913.00ns INFO [00004489] GPR Update: R09=0000000000000C00
35921.00ns INFO [00004490] C0: CP 0:0038AC 00000000000038AC
35921.00ns INFO [00004490] CR Update: F0=4
35961.00ns INFO [00004495] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 7D234B784BFFFE9D00000000000039F8, 0000000000001111
0001FE00, 7D234B78, 0000
0000
0001FE04, 4BFFFE9D, 0000
0000
0001FE08, 00000000, 0000
0000
0001FE0C, 000039F8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
14840
35977.00ns INFO [00004497] Mem Update: @0001FE0C 0001FF44->000039F8
35977.00ns INFO [00004497] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0001FE24 00000100 0001FE18 000039F8 0001FE24 00000000 0001FF38 00003A80 ...$..........9....$.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
36001.00ns INFO [00004500] ...tick...
36025.00ns INFO [00004503] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=0000006D7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 0000006D7D234B784BFFFE9D00000000, 1111000000000000
0001FE00, 0000006D, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
109
36041.00ns INFO [00004505] Mem Update: @0001FE00 0001FE24->0000006D
0001FE04, 7D234B78, 0000
0000
0001FE08, 4BFFFE9D, 0000
0000
0001FE0C, 00000000, 0000
0000
36041.00ns INFO [00004505] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000006D 00000100 0001FE18 000039F8 0001FE24 00000000 0001FF38 00003A80 ...m..........9....$.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
36041.00ns INFO [00004505] C0: CP 0:0038B0 00000000000038B0
36049.00ns INFO [00004506] GPR Update: R09=0000000000020000
36089.00ns INFO [00004511] C0: CP 0:0038B4 00000000000038B4
36097.00ns INFO [00004512] GPR Update: R09=0000000000000C00
36145.00ns INFO [00004518] C0: CP 0:0038B8 1:0038BC 00000000000038B8
36153.00ns INFO [00004519] GPR Update: R10=000000000000006D
36161.00ns INFO [00004520] C0: CP 0:0038C0 1:0038C4 00000000000038C0
36169.00ns INFO [00004521] C0: CP 0:0038C8 1:000C00 00000000000038C8
36169.00ns INFO [00004521] GPR Update: R03=000000000000006D
36169.00ns INFO [00004521] CTR Update:0000000000000C00
36177.00ns INFO [00004522] C0: CP 0:000C04 0000000000000C04
36177.00ns INFO [00004522] GPR Update: R01=000000000001FDE8
36177.00ns INFO [00004522] LR Update:00000000000038CC
36185.00ns INFO [00004523] GPR Update: R09=000000000000006D
36209.00ns INFO [00004526] C0: CP 0:000C08 1:000C0C 0000000000000C08
36217.00ns INFO [00004527] C0: CP 0:000C10 1:000C14 0000000000000C10
36217.00ns INFO [00004527] GPR Update: R09=0000000000010000
36225.00ns INFO [00004528] C0: CP 0:000C18 1:000C1C 0000000000000C18
36225.00ns INFO [00004528] GPR Update: R08=000000000001C005
36225.00ns INFO [00004528] GPR Update: R09=000000000001C004
36233.00ns INFO [00004529] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
36233.00ns INFO [00004529] GPR Update: R10=0000000000010000
0001FDE0
0001FDE0, 4BFFFE9D000000000001FDF87D234B78, 0000000011110000
0001FDE0, 4BFFFE9D, 0000
0000
0001FDE4, 00000000, 0000
0000
0001FDE8, 0001FDF8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130552
36249.00ns INFO [00004531] Mem Update: @0001FDE8 0001FE18->0001FDF8
0001FDEC, 7D234B78, 0000
0000
36249.00ns INFO [00004531] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 00000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000006D 00000100 0001FE18 000039F8 0001FE24 00000000 0001FF38 00003A80 ...m..........9....$.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
36297.00ns INFO [00004537] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=6D7D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
0001FDF0
0001FDF0, 6D7D234B784BFFFE9D00000000000000, 1000000000000000
0001FDF0, 6D7D234B, 1000
1000
0
65280
16711680
4278190080
FF000000
00000008
1828716544
36313.00ns INFO [00004539] Mem Update: @0001FDF0 00000008->6D000008
0001FDF4, 784BFFFE, 0000
0000
0001FDF8, 9D000000, 0000
0000
0001FDFC, 00000000, 0000
0000
36313.00ns INFO [00004539] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 6D000008 00000000 0001FE08 00000000 ..............&|m...............
0001FE00: 0000006D 00000100 0001FE18 000039F8 0001FE24 00000000 0001FF38 00003A80 ...m..........9....$.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
36361.00ns INFO [00004545] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0057D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C0057D234B784BFFFE9D00000000, 1111000000000000
00012000, 0001C005, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114693
36377.00ns INFO [00004547] Mem Update: @00012000 0001C004->0001C005
00012004, 7D234B78, 0000
0000
00012008, 4BFFFE9D, 0000
0000
0001200C, 00000000, 0000
0000
36393.00ns INFO [00004549] C0: CP 0:000C20 0000000000000C20
36401.00ns INFO [00004550] GPR Update: R10=000000000000006D
36417.00ns INFO [00004552] C0: CP 0:000C24 1:000C28 0000000000000C24
36425.00ns INFO [00004553] C0: CP 0:000C2C 1:000C30 0000000000000C2C
36433.00ns INFO [00004554] C0: CP 0:0038CC 1:0038D0 00000000000038CC
36433.00ns INFO [00004554] GPR Update: R01=000000000001FDF8
36441.00ns INFO [00004555] C0: CP 0:0038D4 1:0038D8 00000000000038D4
36441.00ns INFO [00004555] GPR Update: R03=000000000000006D
36441.00ns INFO [00004555] GPR Update: R09=000000000000006D
36449.00ns INFO [00004556] C0: CP 0:0038DC 1:0038E0 00000000000038DC
36449.00ns INFO [00004556] GPR Update: R00=00000000000039F8
36449.00ns INFO [00004556] LR Update:00000000000039F8
36457.00ns INFO [00004557] T0 STORE 0001C004 tag=00 len=1 be=08000000 data=000000006D7D234B784BFFFE9D00000000000000000000000000000000000000 WIMG:0
36457.00ns INFO [00004557] C0: CP 0:0039F8 1:0039FC 00000000000039F8
36457.00ns INFO [00004557] GPR Update: R01=000000000001FE08
36465.00ns INFO [00004558] C0: CP 0:003A00 0000000000003A00
36465.00ns INFO [00004558] GPR Update: R09=000000000001FE25
0001C000
0001C000, 000000006D7D234B784BFFFE9D000000, 0000100000000000
0001C000, 00000000, 0000
0000
0001C004, 6D7D234B, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
1828716544
36473.00ns INFO [00004559] Mem Update: @0001C004 XXXXXXXX->6D000000
0001C008, 784BFFFE, 0000
0000
0001C00C, 9D000000, 0000
0000
36473.00ns INFO [00004559] Print buffer:
0001C000: 7774660A 6D000000 00000000 00000000 00000000 00000000 00000000 00000000 wtf.m...........................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
36521.00ns INFO [00004565] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE257D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE10
0001FE10, 0001FE257D234B784BFFFE9D00000000, 1111000000000000
0001FE10, 0001FE25, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130597
36537.00ns INFO [00004567] Mem Update: @0001FE10 0001FE24->0001FE25
0001FE14, 7D234B78, 0000
0000
0001FE18, 4BFFFE9D, 0000
0000
0001FE1C, 00000000, 0000
0000
36537.00ns INFO [00004567] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 6D000008 00000000 0001FE08 00000000 ..............&|m...............
0001FE00: 0000006D 00000100 0001FE18 000039F8 0001FE25 00000000 0001FF38 00003A80 ...m..........9....%.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
36617.00ns INFO [00004577] C0: CP 0:003A04 0000000000003A04
36625.00ns INFO [00004578] GPR Update: R09=000000000001FE25
36649.00ns INFO [00004581] C0: CP 0:003A08 0000000000003A08
36657.00ns INFO [00004582] C0: CP 0:003A0C 0000000000003A0C
36657.00ns INFO [00004582] GPR Update: R09=0000000000000061
36665.00ns INFO [00004583] C0: CP 0:003A10 1:0039E8 0000000000003A10
36665.00ns INFO [00004583] CR Update: F0=4
36673.00ns INFO [00004584] C0: CP 0:0039EC 1:0039F0 00000000000039EC
36673.00ns INFO [00004584] GPR Update: R09=000000000001FE25
36681.00ns INFO [00004585] C0: CP 0:0039F4 1:003890 00000000000039F4
36681.00ns INFO [00004585] GPR Update: R03=0000000000000061
36681.00ns INFO [00004585] GPR Update: R09=0000000000000061
36689.00ns INFO [00004586] C0: CP 0:003894 1:003898 0000000000003894
36689.00ns INFO [00004586] GPR Update: R01=000000000001FDF8
36689.00ns INFO [00004586] LR Update:00000000000039F8
36697.00ns INFO [00004587] C0: CP 0:00389C 1:0038A0 000000000000389C
36697.00ns INFO [00004587] GPR Update: R00=00000000000039F8
36705.00ns INFO [00004588] C0: CP 0:0038A4 1:0038A8 00000000000038A4
36705.00ns INFO [00004588] GPR Update: R09=0000000000020000
36713.00ns INFO [00004589] C0: CP 0:0038AC 00000000000038AC
36713.00ns INFO [00004589] GPR Update: R09=0000000000000C00
36713.00ns INFO [00004589] CR Update: F0=4
36729.00ns INFO [00004591] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
0001FDF0
0001FDF0, 4BFFFE9D000000000001FE087D234B78, 0000000011110000
0001FDF0, 4BFFFE9D, 0000
0000
0001FDF4, 00000000, 0000
0000
0001FDF8, 0001FE08, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130568
36745.00ns INFO [00004593] Mem Update: @0001FDF8 0001FE08->0001FE08
0001FDFC, 7D234B78, 0000
0000
36801.00ns INFO [00004600] ...tick...
36809.00ns INFO [00004601] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 7D234B784BFFFE9D00000000000039F8, 0000000000001111
0001FE00, 7D234B78, 0000
0000
0001FE04, 4BFFFE9D, 0000
0000
0001FE08, 00000000, 0000
0000
0001FE0C, 000039F8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
14840
36825.00ns INFO [00004603] Mem Update: @0001FE0C 000039F8->000039F8
36825.00ns INFO [00004603] C0: CP 0:0038B0 00000000000038B0
36833.00ns INFO [00004604] GPR Update: R09=0000000000020000
36873.00ns INFO [00004609] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=000000617D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
36873.00ns INFO [00004609] C0: CP 0:0038B4 00000000000038B4
36881.00ns INFO [00004610] GPR Update: R09=0000000000000C00
0001FE00
0001FE00, 000000617D234B784BFFFE9D00000000, 1111000000000000
0001FE00, 00000061, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
97
36889.00ns INFO [00004611] Mem Update: @0001FE00 0000006D->00000061
0001FE04, 7D234B78, 0000
0000
0001FE08, 4BFFFE9D, 0000
0000
0001FE0C, 00000000, 0000
0000
36889.00ns INFO [00004611] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 6D000008 00000000 0001FE08 00000000 ..............&|m...............
0001FE00: 00000061 00000100 0001FE18 000039F8 0001FE25 00000000 0001FF38 00003A80 ...a..........9....%.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
36969.00ns INFO [00004621] C0: CP 0:0038B8 1:0038BC 00000000000038B8
36977.00ns INFO [00004622] GPR Update: R10=0000000000000061
36985.00ns INFO [00004623] C0: CP 0:0038C0 1:0038C4 00000000000038C0
36993.00ns INFO [00004624] C0: CP 0:0038C8 1:000C00 00000000000038C8
36993.00ns INFO [00004624] GPR Update: R03=0000000000000061
36993.00ns INFO [00004624] CTR Update:0000000000000C00
37001.00ns INFO [00004625] GPR Update: R01=000000000001FDE8
37001.00ns INFO [00004625] LR Update:00000000000038CC
37009.00ns INFO [00004626] C0: CP 0:000C04 0000000000000C04
37017.00ns INFO [00004627] GPR Update: R09=0000000000000061
37033.00ns INFO [00004629] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
37033.00ns INFO [00004629] C0: CP 0:000C08 1:000C0C 0000000000000C08
37041.00ns INFO [00004630] C0: CP 0:000C10 1:000C14 0000000000000C10
37041.00ns INFO [00004630] GPR Update: R09=0000000000010000
0001FDE0
0001FDE0, 4BFFFE9D000000000001FDF87D234B78, 0000000011110000
0001FDE0, 4BFFFE9D, 0000
0000
0001FDE4, 00000000, 0000
0000
0001FDE8, 0001FDF8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130552
37049.00ns INFO [00004631] Mem Update: @0001FDE8 0001FDF8->0001FDF8
0001FDEC, 7D234B78, 0000
0000
37049.00ns INFO [00004631] C0: CP 0:000C18 1:000C1C 0000000000000C18
37049.00ns INFO [00004631] GPR Update: R08=000000000001C006
37049.00ns INFO [00004631] GPR Update: R09=000000000001C005
37057.00ns INFO [00004632] GPR Update: R10=0000000000010000
37097.00ns INFO [00004637] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=617D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
0001FDF0
0001FDF0, 617D234B784BFFFE9D00000000000000, 1000000000000000
0001FDF0, 617D234B, 1000
1000
0
65280
16711680
4278190080
FF000000
00000008
1627389952
37113.00ns INFO [00004639] Mem Update: @0001FDF0 6D000008->61000008
0001FDF4, 784BFFFE, 0000
0000
0001FDF8, 9D000000, 0000
0000
0001FDFC, 00000000, 0000
0000
37113.00ns INFO [00004639] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 61000008 00000000 0001FE08 00000000 ..............&|a...............
0001FE00: 00000061 00000100 0001FE18 000039F8 0001FE25 00000000 0001FF38 00003A80 ...a..........9....%.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
37161.00ns INFO [00004645] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0067D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C0067D234B784BFFFE9D00000000, 1111000000000000
00012000, 0001C006, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114694
37177.00ns INFO [00004647] Mem Update: @00012000 0001C005->0001C006
00012004, 7D234B78, 0000
0000
00012008, 4BFFFE9D, 0000
0000
0001200C, 00000000, 0000
0000
37193.00ns INFO [00004649] C0: CP 0:000C20 0000000000000C20
37201.00ns INFO [00004650] GPR Update: R10=0000000000000061
37209.00ns INFO [00004651] C0: CP 0:000C24 1:000C28 0000000000000C24
37217.00ns INFO [00004652] C0: CP 0:000C2C 1:000C30 0000000000000C2C
37225.00ns INFO [00004653] C0: CP 0:0038CC 1:0038D0 00000000000038CC
37225.00ns INFO [00004653] GPR Update: R01=000000000001FDF8
37233.00ns INFO [00004654] C0: CP 0:0038D4 1:0038D8 00000000000038D4
37233.00ns INFO [00004654] GPR Update: R03=0000000000000061
37233.00ns INFO [00004654] GPR Update: R09=0000000000000061
37241.00ns INFO [00004655] C0: CP 0:0038DC 1:0038E0 00000000000038DC
37241.00ns INFO [00004655] GPR Update: R00=00000000000039F8
37241.00ns INFO [00004655] LR Update:00000000000039F8
37249.00ns INFO [00004656] C0: CP 0:0039F8 1:0039FC 00000000000039F8
37249.00ns INFO [00004656] GPR Update: R01=000000000001FE08
37257.00ns INFO [00004657] T0 STORE 0001C005 tag=00 len=1 be=04000000 data=0000000000617D234B784BFFFE9D000000000000000000000000000000000000 WIMG:0
37257.00ns INFO [00004657] C0: CP 0:003A00 0000000000003A00
37257.00ns INFO [00004657] GPR Update: R09=000000000001FE26
0001C000
0001C000, 0000000000617D234B784BFFFE9D0000, 0000010000000000
0001C000, 00000000, 0000
0000
0001C004, 00617D23, 0100
0100
0
0
65280
16711680
00FF0000
6D000000
6356992
37273.00ns INFO [00004659] Mem Update: @0001C004 6D000000->6D610000
0001C008, 4B784BFF, 0000
0000
0001C00C, FE9D0000, 0000
0000
37273.00ns INFO [00004659] Print buffer:
0001C000: 7774660A 6D610000 00000000 00000000 00000000 00000000 00000000 00000000 wtf.ma..........................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
37321.00ns INFO [00004665] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE267D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE10
0001FE10, 0001FE267D234B784BFFFE9D00000000, 1111000000000000
0001FE10, 0001FE26, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130598
37337.00ns INFO [00004667] Mem Update: @0001FE10 0001FE25->0001FE26
0001FE14, 7D234B78, 0000
0000
0001FE18, 4BFFFE9D, 0000
0000
0001FE1C, 00000000, 0000
0000
37337.00ns INFO [00004667] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 61000008 00000000 0001FE08 00000000 ..............&|a...............
0001FE00: 00000061 00000100 0001FE18 000039F8 0001FE26 00000000 0001FF38 00003A80 ...a..........9....&.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
37417.00ns INFO [00004677] C0: CP 0:003A04 0000000000003A04
37425.00ns INFO [00004678] GPR Update: R09=000000000001FE26
37457.00ns INFO [00004682] C0: CP 0:003A08 1:003A0C 0000000000003A08
37465.00ns INFO [00004683] GPR Update: R09=0000000000000069
37465.00ns INFO [00004683] CR Update: F0=4
37473.00ns INFO [00004684] C0: CP 0:003A10 1:0039E8 0000000000003A10
37481.00ns INFO [00004685] C0: CP 0:0039EC 1:0039F0 00000000000039EC
37481.00ns INFO [00004685] GPR Update: R09=000000000001FE26
37489.00ns INFO [00004686] C0: CP 0:0039F4 1:003890 00000000000039F4
37489.00ns INFO [00004686] GPR Update: R03=0000000000000069
37489.00ns INFO [00004686] GPR Update: R09=0000000000000069
37497.00ns INFO [00004687] C0: CP 0:003894 1:003898 0000000000003894
37497.00ns INFO [00004687] GPR Update: R01=000000000001FDF8
37497.00ns INFO [00004687] LR Update:00000000000039F8
37505.00ns INFO [00004688] C0: CP 0:00389C 1:0038A0 000000000000389C
37505.00ns INFO [00004688] GPR Update: R00=00000000000039F8
37513.00ns INFO [00004689] C0: CP 0:0038A4 1:0038A8 00000000000038A4
37513.00ns INFO [00004689] GPR Update: R09=0000000000020000
37521.00ns INFO [00004690] C0: CP 0:0038AC 00000000000038AC
37521.00ns INFO [00004690] GPR Update: R09=0000000000000C00
37521.00ns INFO [00004690] CR Update: F0=4
37529.00ns INFO [00004691] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
0001FDF0
0001FDF0, 4BFFFE9D000000000001FE087D234B78, 0000000011110000
0001FDF0, 4BFFFE9D, 0000
0000
0001FDF4, 00000000, 0000
0000
0001FDF8, 0001FE08, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130568
37545.00ns INFO [00004693] Mem Update: @0001FDF8 0001FE08->0001FE08
0001FDFC, 7D234B78, 0000
0000
37593.00ns INFO [00004699] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
37601.00ns INFO [00004700] ...tick...
0001FE00
0001FE00, 7D234B784BFFFE9D00000000000039F8, 0000000000001111
0001FE00, 7D234B78, 0000
0000
0001FE04, 4BFFFE9D, 0000
0000
0001FE08, 00000000, 0000
0000
0001FE0C, 000039F8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
14840
37609.00ns INFO [00004701] Mem Update: @0001FE0C 000039F8->000039F8
37633.00ns INFO [00004704] C0: CP 0:0038B0 00000000000038B0
37641.00ns INFO [00004705] GPR Update: R09=0000000000020000
37673.00ns INFO [00004709] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=000000697D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
37681.00ns INFO [00004710] C0: CP 0:0038B4 00000000000038B4
0001FE00
0001FE00, 000000697D234B784BFFFE9D00000000, 1111000000000000
0001FE00, 00000069, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
105
37689.00ns INFO [00004711] Mem Update: @0001FE00 00000061->00000069
0001FE04, 7D234B78, 0000
0000
0001FE08, 4BFFFE9D, 0000
0000
0001FE0C, 00000000, 0000
0000
37689.00ns INFO [00004711] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 61000008 00000000 0001FE08 00000000 ..............&|a...............
0001FE00: 00000069 00000100 0001FE18 000039F8 0001FE26 00000000 0001FF38 00003A80 ...i..........9....&.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
37689.00ns INFO [00004711] GPR Update: R09=0000000000000C00
37769.00ns INFO [00004721] C0: CP 0:0038B8 1:0038BC 00000000000038B8
37777.00ns INFO [00004722] GPR Update: R10=0000000000000069
37785.00ns INFO [00004723] C0: CP 0:0038C0 1:0038C4 00000000000038C0
37793.00ns INFO [00004724] C0: CP 0:0038C8 1:000C00 00000000000038C8
37793.00ns INFO [00004724] GPR Update: R03=0000000000000069
37793.00ns INFO [00004724] CTR Update:0000000000000C00
37801.00ns INFO [00004725] GPR Update: R01=000000000001FDE8
37801.00ns INFO [00004725] LR Update:00000000000038CC
37809.00ns INFO [00004726] C0: CP 0:000C04 0000000000000C04
37817.00ns INFO [00004727] GPR Update: R09=0000000000000069
37833.00ns INFO [00004729] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
37833.00ns INFO [00004729] C0: CP 0:000C08 1:000C0C 0000000000000C08
37841.00ns INFO [00004730] C0: CP 0:000C10 1:000C14 0000000000000C10
37841.00ns INFO [00004730] GPR Update: R09=0000000000010000
0001FDE0
0001FDE0, 4BFFFE9D000000000001FDF87D234B78, 0000000011110000
0001FDE0, 4BFFFE9D, 0000
0000
0001FDE4, 00000000, 0000
0000
0001FDE8, 0001FDF8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130552
37849.00ns INFO [00004731] Mem Update: @0001FDE8 0001FDF8->0001FDF8
0001FDEC, 7D234B78, 0000
0000
37849.00ns INFO [00004731] C0: CP 0:000C18 1:000C1C 0000000000000C18
37849.00ns INFO [00004731] GPR Update: R08=000000000001C007
37849.00ns INFO [00004731] GPR Update: R09=000000000001C006
37857.00ns INFO [00004732] GPR Update: R10=0000000000010000
37897.00ns INFO [00004737] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=697D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
0001FDF0
0001FDF0, 697D234B784BFFFE9D00000000000000, 1000000000000000
0001FDF0, 697D234B, 1000
1000
0
65280
16711680
4278190080
FF000000
00000008
1761607680
37913.00ns INFO [00004739] Mem Update: @0001FDF0 61000008->69000008
0001FDF4, 784BFFFE, 0000
0000
0001FDF8, 9D000000, 0000
0000
0001FDFC, 00000000, 0000
0000
37913.00ns INFO [00004739] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 69000008 00000000 0001FE08 00000000 ..............&|i...............
0001FE00: 00000069 00000100 0001FE18 000039F8 0001FE26 00000000 0001FF38 00003A80 ...i..........9....&.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
37961.00ns INFO [00004745] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0077D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C0077D234B784BFFFE9D00000000, 1111000000000000
00012000, 0001C007, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114695
37977.00ns INFO [00004747] Mem Update: @00012000 0001C006->0001C007
00012004, 7D234B78, 0000
0000
00012008, 4BFFFE9D, 0000
0000
0001200C, 00000000, 0000
0000
37993.00ns INFO [00004749] C0: CP 0:000C20 0000000000000C20
38001.00ns INFO [00004750] GPR Update: R10=0000000000000069
38009.00ns INFO [00004751] C0: CP 0:000C24 1:000C28 0000000000000C24
38017.00ns INFO [00004752] C0: CP 0:000C2C 1:000C30 0000000000000C2C
38025.00ns INFO [00004753] C0: CP 0:0038CC 1:0038D0 00000000000038CC
38025.00ns INFO [00004753] GPR Update: R01=000000000001FDF8
38033.00ns INFO [00004754] C0: CP 0:0038D4 1:0038D8 00000000000038D4
38033.00ns INFO [00004754] GPR Update: R03=0000000000000069
38033.00ns INFO [00004754] GPR Update: R09=0000000000000069
38041.00ns INFO [00004755] C0: CP 0:0038DC 1:0038E0 00000000000038DC
38041.00ns INFO [00004755] GPR Update: R00=00000000000039F8
38041.00ns INFO [00004755] LR Update:00000000000039F8
38049.00ns INFO [00004756] C0: CP 0:0039F8 1:0039FC 00000000000039F8
38049.00ns INFO [00004756] GPR Update: R01=000000000001FE08
38057.00ns INFO [00004757] T0 STORE 0001C006 tag=00 len=1 be=02000000 data=000000000000697D234B784BFFFE9D0000000000000000000000000000000000 WIMG:0
38057.00ns INFO [00004757] C0: CP 0:003A00 0000000000003A00
38057.00ns INFO [00004757] GPR Update: R09=000000000001FE27
0001C000
0001C000, 000000000000697D234B784BFFFE9D00, 0000001000000000
0001C000, 00000000, 0000
0000
0001C004, 0000697D, 0010
0010
0
0
0
65280
0000FF00
6D610000
26880
38073.00ns INFO [00004759] Mem Update: @0001C004 6D610000->6D616900
0001C008, 234B784B, 0000
0000
0001C00C, FFFE9D00, 0000
0000
38073.00ns INFO [00004759] Print buffer:
0001C000: 7774660A 6D616900 00000000 00000000 00000000 00000000 00000000 00000000 wtf.mai.........................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
38121.00ns INFO [00004765] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE277D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE10
0001FE10, 0001FE277D234B784BFFFE9D00000000, 1111000000000000
0001FE10, 0001FE27, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130599
38137.00ns INFO [00004767] Mem Update: @0001FE10 0001FE26->0001FE27
0001FE14, 7D234B78, 0000
0000
0001FE18, 4BFFFE9D, 0000
0000
0001FE1C, 00000000, 0000
0000
38137.00ns INFO [00004767] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 69000008 00000000 0001FE08 00000000 ..............&|i...............
0001FE00: 00000069 00000100 0001FE18 000039F8 0001FE27 00000000 0001FF38 00003A80 ...i..........9....'.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
38217.00ns INFO [00004777] C0: CP 0:003A04 0000000000003A04
38225.00ns INFO [00004778] GPR Update: R09=000000000001FE27
38257.00ns INFO [00004782] C0: CP 0:003A08 1:003A0C 0000000000003A08
38265.00ns INFO [00004783] GPR Update: R09=000000000000006E
38265.00ns INFO [00004783] CR Update: F0=4
38273.00ns INFO [00004784] C0: CP 0:003A10 1:0039E8 0000000000003A10
38281.00ns INFO [00004785] C0: CP 0:0039EC 1:0039F0 00000000000039EC
38281.00ns INFO [00004785] GPR Update: R09=000000000001FE27
38289.00ns INFO [00004786] C0: CP 0:0039F4 1:003890 00000000000039F4
38289.00ns INFO [00004786] GPR Update: R03=000000000000006E
38289.00ns INFO [00004786] GPR Update: R09=000000000000006E
38297.00ns INFO [00004787] C0: CP 0:003894 1:003898 0000000000003894
38297.00ns INFO [00004787] GPR Update: R01=000000000001FDF8
38297.00ns INFO [00004787] LR Update:00000000000039F8
38305.00ns INFO [00004788] C0: CP 0:00389C 1:0038A0 000000000000389C
38305.00ns INFO [00004788] GPR Update: R00=00000000000039F8
38313.00ns INFO [00004789] C0: CP 0:0038A4 1:0038A8 00000000000038A4
38313.00ns INFO [00004789] GPR Update: R09=0000000000020000
38321.00ns INFO [00004790] C0: CP 0:0038AC 00000000000038AC
38321.00ns INFO [00004790] GPR Update: R09=0000000000000C00
38321.00ns INFO [00004790] CR Update: F0=4
38329.00ns INFO [00004791] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
0001FDF0
0001FDF0, 4BFFFE9D000000000001FE087D234B78, 0000000011110000
0001FDF0, 4BFFFE9D, 0000
0000
0001FDF4, 00000000, 0000
0000
0001FDF8, 0001FE08, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130568
38345.00ns INFO [00004793] Mem Update: @0001FDF8 0001FE08->0001FE08
0001FDFC, 7D234B78, 0000
0000
38393.00ns INFO [00004799] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
38401.00ns INFO [00004800] ...tick...
0001FE00
0001FE00, 7D234B784BFFFE9D00000000000039F8, 0000000000001111
0001FE00, 7D234B78, 0000
0000
0001FE04, 4BFFFE9D, 0000
0000
0001FE08, 00000000, 0000
0000
0001FE0C, 000039F8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
14840
38409.00ns INFO [00004801] Mem Update: @0001FE0C 000039F8->000039F8
38433.00ns INFO [00004804] C0: CP 0:0038B0 00000000000038B0
38441.00ns INFO [00004805] GPR Update: R09=0000000000020000
38473.00ns INFO [00004809] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=0000006E7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
38481.00ns INFO [00004810] C0: CP 0:0038B4 00000000000038B4
0001FE00
0001FE00, 0000006E7D234B784BFFFE9D00000000, 1111000000000000
0001FE00, 0000006E, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
110
38489.00ns INFO [00004811] Mem Update: @0001FE00 00000069->0000006E
0001FE04, 7D234B78, 0000
0000
0001FE08, 4BFFFE9D, 0000
0000
0001FE0C, 00000000, 0000
0000
38489.00ns INFO [00004811] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 69000008 00000000 0001FE08 00000000 ..............&|i...............
0001FE00: 0000006E 00000100 0001FE18 000039F8 0001FE27 00000000 0001FF38 00003A80 ...n..........9....'.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
38489.00ns INFO [00004811] GPR Update: R09=0000000000000C00
38569.00ns INFO [00004821] C0: CP 0:0038B8 1:0038BC 00000000000038B8
38577.00ns INFO [00004822] GPR Update: R10=000000000000006E
38585.00ns INFO [00004823] C0: CP 0:0038C0 1:0038C4 00000000000038C0
38593.00ns INFO [00004824] C0: CP 0:0038C8 1:000C00 00000000000038C8
38593.00ns INFO [00004824] GPR Update: R03=000000000000006E
38593.00ns INFO [00004824] CTR Update:0000000000000C00
38601.00ns INFO [00004825] GPR Update: R01=000000000001FDE8
38601.00ns INFO [00004825] LR Update:00000000000038CC
38609.00ns INFO [00004826] C0: CP 0:000C04 0000000000000C04
38617.00ns INFO [00004827] GPR Update: R09=000000000000006E
38633.00ns INFO [00004829] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
38633.00ns INFO [00004829] C0: CP 0:000C08 1:000C0C 0000000000000C08
38641.00ns INFO [00004830] C0: CP 0:000C10 1:000C14 0000000000000C10
38641.00ns INFO [00004830] GPR Update: R09=0000000000010000
0001FDE0
0001FDE0, 4BFFFE9D000000000001FDF87D234B78, 0000000011110000
0001FDE0, 4BFFFE9D, 0000
0000
0001FDE4, 00000000, 0000
0000
0001FDE8, 0001FDF8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130552
38649.00ns INFO [00004831] Mem Update: @0001FDE8 0001FDF8->0001FDF8
0001FDEC, 7D234B78, 0000
0000
38649.00ns INFO [00004831] C0: CP 0:000C18 1:000C1C 0000000000000C18
38649.00ns INFO [00004831] GPR Update: R08=000000000001C008
38649.00ns INFO [00004831] GPR Update: R09=000000000001C007
38657.00ns INFO [00004832] GPR Update: R10=0000000000010000
38697.00ns INFO [00004837] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=6E7D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
0001FDF0
0001FDF0, 6E7D234B784BFFFE9D00000000000000, 1000000000000000
0001FDF0, 6E7D234B, 1000
1000
0
65280
16711680
4278190080
FF000000
00000008
1845493760
38713.00ns INFO [00004839] Mem Update: @0001FDF0 69000008->6E000008
0001FDF4, 784BFFFE, 0000
0000
0001FDF8, 9D000000, 0000
0000
0001FDFC, 00000000, 0000
0000
38713.00ns INFO [00004839] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 6E000008 00000000 0001FE08 00000000 ..............&|n...............
0001FE00: 0000006E 00000100 0001FE18 000039F8 0001FE27 00000000 0001FF38 00003A80 ...n..........9....'.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
38761.00ns INFO [00004845] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0087D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C0087D234B784BFFFE9D00000000, 1111000000000000
00012000, 0001C008, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114696
38777.00ns INFO [00004847] Mem Update: @00012000 0001C007->0001C008
00012004, 7D234B78, 0000
0000
00012008, 4BFFFE9D, 0000
0000
0001200C, 00000000, 0000
0000
38793.00ns INFO [00004849] C0: CP 0:000C20 0000000000000C20
38801.00ns INFO [00004850] GPR Update: R10=000000000000006E
38809.00ns INFO [00004851] C0: CP 0:000C24 1:000C28 0000000000000C24
38817.00ns INFO [00004852] C0: CP 0:000C2C 1:000C30 0000000000000C2C
38825.00ns INFO [00004853] C0: CP 0:0038CC 1:0038D0 00000000000038CC
38825.00ns INFO [00004853] GPR Update: R01=000000000001FDF8
38833.00ns INFO [00004854] C0: CP 0:0038D4 1:0038D8 00000000000038D4
38833.00ns INFO [00004854] GPR Update: R03=000000000000006E
38833.00ns INFO [00004854] GPR Update: R09=000000000000006E
38841.00ns INFO [00004855] C0: CP 0:0038DC 1:0038E0 00000000000038DC
38841.00ns INFO [00004855] GPR Update: R00=00000000000039F8
38841.00ns INFO [00004855] LR Update:00000000000039F8
38849.00ns INFO [00004856] C0: CP 0:0039F8 1:0039FC 00000000000039F8
38849.00ns INFO [00004856] GPR Update: R01=000000000001FE08
38857.00ns INFO [00004857] T0 STORE 0001C007 tag=00 len=1 be=01000000 data=000000000000006E7D234B784BFFFE9D00000000000000000000000000000000 WIMG:0
38857.00ns INFO [00004857] C0: CP 0:003A00 0000000000003A00
38857.00ns INFO [00004857] GPR Update: R09=000000000001FE28
0001C000
0001C000, 000000000000006E7D234B784BFFFE9D, 0000000100000000
0001C000, 00000000, 0000
0000
0001C004, 0000006E, 0001
0001
0
0
0
0
000000FF
6D616900
110
38873.00ns INFO [00004859] Mem Update: @0001C004 6D616900->6D61696E
0001C008, 7D234B78, 0000
0000
0001C00C, 4BFFFE9D, 0000
0000
38873.00ns INFO [00004859] Print buffer:
0001C000: 7774660A 6D61696E 00000000 00000000 00000000 00000000 00000000 00000000 wtf.main........................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
38921.00ns INFO [00004865] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE287D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE10
0001FE10, 0001FE287D234B784BFFFE9D00000000, 1111000000000000
0001FE10, 0001FE28, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130600
38937.00ns INFO [00004867] Mem Update: @0001FE10 0001FE27->0001FE28
0001FE14, 7D234B78, 0000
0000
0001FE18, 4BFFFE9D, 0000
0000
0001FE1C, 00000000, 0000
0000
38937.00ns INFO [00004867] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 6E000008 00000000 0001FE08 00000000 ..............&|n...............
0001FE00: 0000006E 00000100 0001FE18 000039F8 0001FE28 00000000 0001FF38 00003A80 ...n..........9....(.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
39017.00ns INFO [00004877] C0: CP 0:003A04 0000000000003A04
39025.00ns INFO [00004878] GPR Update: R09=000000000001FE28
39057.00ns INFO [00004882] C0: CP 0:003A08 1:003A0C 0000000000003A08
39065.00ns INFO [00004883] GPR Update: R09=0000000000000028
39065.00ns INFO [00004883] CR Update: F0=4
39073.00ns INFO [00004884] C0: CP 0:003A10 1:0039E8 0000000000003A10
39081.00ns INFO [00004885] C0: CP 0:0039EC 1:0039F0 00000000000039EC
39081.00ns INFO [00004885] GPR Update: R09=000000000001FE28
39089.00ns INFO [00004886] C0: CP 0:0039F4 1:003890 00000000000039F4
39089.00ns INFO [00004886] GPR Update: R03=0000000000000028
39089.00ns INFO [00004886] GPR Update: R09=0000000000000028
39097.00ns INFO [00004887] C0: CP 0:003894 1:003898 0000000000003894
39097.00ns INFO [00004887] GPR Update: R01=000000000001FDF8
39097.00ns INFO [00004887] LR Update:00000000000039F8
39105.00ns INFO [00004888] C0: CP 0:00389C 1:0038A0 000000000000389C
39105.00ns INFO [00004888] GPR Update: R00=00000000000039F8
39113.00ns INFO [00004889] C0: CP 0:0038A4 1:0038A8 00000000000038A4
39113.00ns INFO [00004889] GPR Update: R09=0000000000020000
39121.00ns INFO [00004890] C0: CP 0:0038AC 1:0038B0 00000000000038AC
39121.00ns INFO [00004890] GPR Update: R09=0000000000000C00
39121.00ns INFO [00004890] CR Update: F0=4
39129.00ns INFO [00004891] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
39129.00ns INFO [00004891] GPR Update: R09=0000000000020000
0001FDF0
0001FDF0, 4BFFFE9D000000000001FE087D234B78, 0000000011110000
0001FDF0, 4BFFFE9D, 0000
0000
0001FDF4, 00000000, 0000
0000
0001FDF8, 0001FE08, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130568
39145.00ns INFO [00004893] Mem Update: @0001FDF8 0001FE08->0001FE08
0001FDFC, 7D234B78, 0000
0000
39185.00ns INFO [00004898] C0: CP 0:0038B4 00000000000038B4
39193.00ns INFO [00004899] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
39193.00ns INFO [00004899] GPR Update: R09=0000000000000C00
39201.00ns INFO [00004900] ...tick...
0001FE00
0001FE00, 7D234B784BFFFE9D00000000000039F8, 0000000000001111
0001FE00, 7D234B78, 0000
0000
0001FE04, 4BFFFE9D, 0000
0000
0001FE08, 00000000, 0000
0000
0001FE0C, 000039F8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
14840
39209.00ns INFO [00004901] Mem Update: @0001FE0C 000039F8->000039F8
39257.00ns INFO [00004907] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=000000287D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 000000287D234B784BFFFE9D00000000, 1111000000000000
0001FE00, 00000028, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
40
39273.00ns INFO [00004909] Mem Update: @0001FE00 0000006E->00000028
0001FE04, 7D234B78, 0000
0000
0001FE08, 4BFFFE9D, 0000
0000
0001FE0C, 00000000, 0000
0000
39273.00ns INFO [00004909] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 6E000008 00000000 0001FE08 00000000 ..............&|n...............
0001FE00: 00000028 00000100 0001FE18 000039F8 0001FE28 00000000 0001FF38 00003A80 ...(..........9....(.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
39353.00ns INFO [00004919] C0: CP 0:0038B8 00000000000038B8
39361.00ns INFO [00004920] C0: CP 0:0038BC 00000000000038BC
39361.00ns INFO [00004920] GPR Update: R10=0000000000000028
39369.00ns INFO [00004921] C0: CP 0:0038C0 1:0038C4 00000000000038C0
39369.00ns INFO [00004921] GPR Update: R10=0000000000000028
39377.00ns INFO [00004922] C0: CP 0:0038C8 1:000C00 00000000000038C8
39377.00ns INFO [00004922] GPR Update: R03=0000000000000028
39377.00ns INFO [00004922] CTR Update:0000000000000C00
39385.00ns INFO [00004923] C0: CP 0:000C04 0000000000000C04
39385.00ns INFO [00004923] GPR Update: R01=000000000001FDE8
39385.00ns INFO [00004923] LR Update:00000000000038CC
39393.00ns INFO [00004924] GPR Update: R09=0000000000000028
39417.00ns INFO [00004927] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
39417.00ns INFO [00004927] C0: CP 0:000C08 1:000C0C 0000000000000C08
39425.00ns INFO [00004928] C0: CP 0:000C10 1:000C14 0000000000000C10
39425.00ns INFO [00004928] GPR Update: R09=0000000000010000
0001FDE0
0001FDE0, 4BFFFE9D000000000001FDF87D234B78, 0000000011110000
0001FDE0, 4BFFFE9D, 0000
0000
0001FDE4, 00000000, 0000
0000
0001FDE8, 0001FDF8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130552
39433.00ns INFO [00004929] Mem Update: @0001FDE8 0001FDF8->0001FDF8
0001FDEC, 7D234B78, 0000
0000
39433.00ns INFO [00004929] C0: CP 0:000C18 1:000C1C 0000000000000C18
39433.00ns INFO [00004929] GPR Update: R08=000000000001C009
39433.00ns INFO [00004929] GPR Update: R09=000000000001C008
39441.00ns INFO [00004930] GPR Update: R10=0000000000010000
39481.00ns INFO [00004935] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=287D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
0001FDF0
0001FDF0, 287D234B784BFFFE9D00000000000000, 1000000000000000
0001FDF0, 287D234B, 1000
1000
0
65280
16711680
4278190080
FF000000
00000008
671088640
39497.00ns INFO [00004937] Mem Update: @0001FDF0 6E000008->28000008
0001FDF4, 784BFFFE, 0000
0000
0001FDF8, 9D000000, 0000
0000
0001FDFC, 00000000, 0000
0000
39497.00ns INFO [00004937] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 28000008 00000000 0001FE08 00000000 ..............&|(...............
0001FE00: 00000028 00000100 0001FE18 000039F8 0001FE28 00000000 0001FF38 00003A80 ...(..........9....(.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
39545.00ns INFO [00004943] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0097D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C0097D234B784BFFFE9D00000000, 1111000000000000
00012000, 0001C009, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114697
39561.00ns INFO [00004945] Mem Update: @00012000 0001C008->0001C009
00012004, 7D234B78, 0000
0000
00012008, 4BFFFE9D, 0000
0000
0001200C, 00000000, 0000
0000
39577.00ns INFO [00004947] C0: CP 0:000C20 0000000000000C20
39585.00ns INFO [00004948] GPR Update: R10=0000000000000028
39593.00ns INFO [00004949] C0: CP 0:000C24 1:000C28 0000000000000C24
39601.00ns INFO [00004950] C0: CP 0:000C2C 1:000C30 0000000000000C2C
39609.00ns INFO [00004951] C0: CP 0:0038CC 1:0038D0 00000000000038CC
39609.00ns INFO [00004951] GPR Update: R01=000000000001FDF8
39617.00ns INFO [00004952] C0: CP 0:0038D4 1:0038D8 00000000000038D4
39617.00ns INFO [00004952] GPR Update: R03=0000000000000028
39617.00ns INFO [00004952] GPR Update: R09=0000000000000028
39625.00ns INFO [00004953] C0: CP 0:0038DC 1:0038E0 00000000000038DC
39625.00ns INFO [00004953] GPR Update: R00=00000000000039F8
39625.00ns INFO [00004953] LR Update:00000000000039F8
39633.00ns INFO [00004954] C0: CP 0:0039F8 1:0039FC 00000000000039F8
39633.00ns INFO [00004954] GPR Update: R01=000000000001FE08
39641.00ns INFO [00004955] T0 STORE 0001C008 tag=00 len=1 be=00800000 data=9D00000000000000287D234B784BFFFE00000000000000000000000000000000 WIMG:0
39641.00ns INFO [00004955] C0: CP 0:003A00 0000000000003A00
39641.00ns INFO [00004955] GPR Update: R09=000000000001FE29
0001C000
0001C000, 9D00000000000000287D234B784BFFFE, 0000000010000000
0001C000, 9D000000, 0000
0000
0001C004, 00000000, 0000
0000
0001C008, 287D234B, 1000
1000
0
65280
16711680
4278190080
FF000000
00000000
671088640
39657.00ns INFO [00004957] Mem Update: @0001C008 XXXXXXXX->28000000
0001C00C, 784BFFFE, 0000
0000
39657.00ns INFO [00004957] Print buffer:
0001C000: 7774660A 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 wtf.main(.......................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
39705.00ns INFO [00004963] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE297D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE10
0001FE10, 0001FE297D234B784BFFFE9D00000000, 1111000000000000
0001FE10, 0001FE29, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130601
39721.00ns INFO [00004965] Mem Update: @0001FE10 0001FE28->0001FE29
0001FE14, 7D234B78, 0000
0000
0001FE18, 4BFFFE9D, 0000
0000
0001FE1C, 00000000, 0000
0000
39721.00ns INFO [00004965] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 28000008 00000000 0001FE08 00000000 ..............&|(...............
0001FE00: 00000028 00000100 0001FE18 000039F8 0001FE29 00000000 0001FF38 00003A80 ...(..........9....).......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
39801.00ns INFO [00004975] C0: CP 0:003A04 0000000000003A04
39809.00ns INFO [00004976] GPR Update: R09=000000000001FE29
39833.00ns INFO [00004979] C0: CP 0:003A08 0000000000003A08
39841.00ns INFO [00004980] C0: CP 0:003A0C 0000000000003A0C
39841.00ns INFO [00004980] GPR Update: R09=0000000000000030
39849.00ns INFO [00004981] C0: CP 0:003A10 1:0039E8 0000000000003A10
39849.00ns INFO [00004981] CR Update: F0=4
39857.00ns INFO [00004982] C0: CP 0:0039EC 1:0039F0 00000000000039EC
39857.00ns INFO [00004982] GPR Update: R09=000000000001FE29
39865.00ns INFO [00004983] C0: CP 0:0039F4 1:003890 00000000000039F4
39865.00ns INFO [00004983] GPR Update: R03=0000000000000030
39865.00ns INFO [00004983] GPR Update: R09=0000000000000030
39873.00ns INFO [00004984] C0: CP 0:003894 1:003898 0000000000003894
39873.00ns INFO [00004984] GPR Update: R01=000000000001FDF8
39873.00ns INFO [00004984] LR Update:00000000000039F8
39881.00ns INFO [00004985] C0: CP 0:00389C 1:0038A0 000000000000389C
39881.00ns INFO [00004985] GPR Update: R00=00000000000039F8
39889.00ns INFO [00004986] C0: CP 0:0038A4 1:0038A8 00000000000038A4
39889.00ns INFO [00004986] GPR Update: R09=0000000000020000
39897.00ns INFO [00004987] C0: CP 0:0038AC 00000000000038AC
39897.00ns INFO [00004987] GPR Update: R09=0000000000000C00
39897.00ns INFO [00004987] CR Update: F0=4
39929.00ns INFO [00004991] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
39929.00ns INFO [00004991] C0: CP 0:0038B0 00000000000038B0
39937.00ns INFO [00004992] GPR Update: R09=0000000000020000
0001FDF0
0001FDF0, 4BFFFE9D000000000001FE087D234B78, 0000000011110000
0001FDF0, 4BFFFE9D, 0000
0000
0001FDF4, 00000000, 0000
0000
0001FDF8, 0001FE08, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130568
39945.00ns INFO [00004993] Mem Update: @0001FDF8 0001FE08->0001FE08
0001FDFC, 7D234B78, 0000
0000
39977.00ns INFO [00004997] C0: CP 0:0038B4 00000000000038B4
39985.00ns INFO [00004998] GPR Update: R09=0000000000000C00
39993.00ns INFO [00004999] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
40001.00ns INFO [00005000] ...tick...
0001FE00
0001FE00, 7D234B784BFFFE9D00000000000039F8, 0000000000001111
0001FE00, 7D234B78, 0000
0000
0001FE04, 4BFFFE9D, 0000
0000
0001FE08, 00000000, 0000
0000
0001FE0C, 000039F8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
14840
40009.00ns INFO [00005001] Mem Update: @0001FE0C 000039F8->000039F8
40057.00ns INFO [00005007] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=000000307D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 000000307D234B784BFFFE9D00000000, 1111000000000000
0001FE00, 00000030, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
48
40073.00ns INFO [00005009] Mem Update: @0001FE00 00000028->00000030
0001FE04, 7D234B78, 0000
0000
0001FE08, 4BFFFE9D, 0000
0000
0001FE0C, 00000000, 0000
0000
40073.00ns INFO [00005009] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 28000008 00000000 0001FE08 00000000 ..............&|(...............
0001FE00: 00000030 00000100 0001FE18 000039F8 0001FE29 00000000 0001FF38 00003A80 ...0..........9....).......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
40153.00ns INFO [00005019] C0: CP 0:0038B8 1:0038BC 00000000000038B8
40161.00ns INFO [00005020] GPR Update: R10=0000000000000030
40169.00ns INFO [00005021] C0: CP 0:0038C0 1:0038C4 00000000000038C0
40177.00ns INFO [00005022] C0: CP 0:0038C8 1:000C00 00000000000038C8
40177.00ns INFO [00005022] GPR Update: R03=0000000000000030
40177.00ns INFO [00005022] CTR Update:0000000000000C00
40185.00ns INFO [00005023] GPR Update: R01=000000000001FDE8
40185.00ns INFO [00005023] LR Update:00000000000038CC
40193.00ns INFO [00005024] C0: CP 0:000C04 0000000000000C04
40201.00ns INFO [00005025] GPR Update: R09=0000000000000030
40217.00ns INFO [00005027] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
40217.00ns INFO [00005027] C0: CP 0:000C08 1:000C0C 0000000000000C08
40225.00ns INFO [00005028] C0: CP 0:000C10 1:000C14 0000000000000C10
40225.00ns INFO [00005028] GPR Update: R09=0000000000010000
0001FDE0
0001FDE0, 4BFFFE9D000000000001FDF87D234B78, 0000000011110000
0001FDE0, 4BFFFE9D, 0000
0000
0001FDE4, 00000000, 0000
0000
0001FDE8, 0001FDF8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130552
40233.00ns INFO [00005029] Mem Update: @0001FDE8 0001FDF8->0001FDF8
0001FDEC, 7D234B78, 0000
0000
40233.00ns INFO [00005029] C0: CP 0:000C18 1:000C1C 0000000000000C18
40233.00ns INFO [00005029] GPR Update: R08=000000000001C00A
40233.00ns INFO [00005029] GPR Update: R09=000000000001C009
40241.00ns INFO [00005030] GPR Update: R10=0000000000010000
40281.00ns INFO [00005035] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=307D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
0001FDF0
0001FDF0, 307D234B784BFFFE9D00000000000000, 1000000000000000
0001FDF0, 307D234B, 1000
1000
0
65280
16711680
4278190080
FF000000
00000008
805306368
40297.00ns INFO [00005037] Mem Update: @0001FDF0 28000008->30000008
0001FDF4, 784BFFFE, 0000
0000
0001FDF8, 9D000000, 0000
0000
0001FDFC, 00000000, 0000
0000
40297.00ns INFO [00005037] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 30000008 00000000 0001FE08 00000000 ..............&|0...............
0001FE00: 00000030 00000100 0001FE18 000039F8 0001FE29 00000000 0001FF38 00003A80 ...0..........9....).......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
40345.00ns INFO [00005043] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00A7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C00A7D234B784BFFFE9D00000000, 1111000000000000
00012000, 0001C00A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114698
40361.00ns INFO [00005045] Mem Update: @00012000 0001C009->0001C00A
00012004, 7D234B78, 0000
0000
00012008, 4BFFFE9D, 0000
0000
0001200C, 00000000, 0000
0000
40377.00ns INFO [00005047] C0: CP 0:000C20 0000000000000C20
40385.00ns INFO [00005048] GPR Update: R10=0000000000000030
40393.00ns INFO [00005049] C0: CP 0:000C24 1:000C28 0000000000000C24
40401.00ns INFO [00005050] C0: CP 0:000C2C 1:000C30 0000000000000C2C
40409.00ns INFO [00005051] C0: CP 0:0038CC 1:0038D0 00000000000038CC
40409.00ns INFO [00005051] GPR Update: R01=000000000001FDF8
40417.00ns INFO [00005052] C0: CP 0:0038D4 1:0038D8 00000000000038D4
40417.00ns INFO [00005052] GPR Update: R03=0000000000000030
40417.00ns INFO [00005052] GPR Update: R09=0000000000000030
40425.00ns INFO [00005053] C0: CP 0:0038DC 1:0038E0 00000000000038DC
40425.00ns INFO [00005053] GPR Update: R00=00000000000039F8
40425.00ns INFO [00005053] LR Update:00000000000039F8
40433.00ns INFO [00005054] C0: CP 0:0039F8 1:0039FC 00000000000039F8
40433.00ns INFO [00005054] GPR Update: R01=000000000001FE08
40441.00ns INFO [00005055] T0 STORE 0001C009 tag=00 len=1 be=00400000 data=FE9D00000000000000307D234B784BFF00000000000000000000000000000000 WIMG:0
40441.00ns INFO [00005055] C0: CP 0:003A00 0000000000003A00
40441.00ns INFO [00005055] GPR Update: R09=000000000001FE2A
0001C000
0001C000, FE9D00000000000000307D234B784BFF, 0000000001000000
0001C000, FE9D0000, 0000
0000
0001C004, 00000000, 0000
0000
0001C008, 00307D23, 0100
0100
0
0
65280
16711680
00FF0000
28000000
3145728
40457.00ns INFO [00005057] Mem Update: @0001C008 28000000->28300000
0001C00C, 4B784BFF, 0000
0000
40457.00ns INFO [00005057] Print buffer:
0001C000: 7774660A 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 wtf.main(0......................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
40505.00ns INFO [00005063] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE2A7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE10
0001FE10, 0001FE2A7D234B784BFFFE9D00000000, 1111000000000000
0001FE10, 0001FE2A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130602
40521.00ns INFO [00005065] Mem Update: @0001FE10 0001FE29->0001FE2A
0001FE14, 7D234B78, 0000
0000
0001FE18, 4BFFFE9D, 0000
0000
0001FE1C, 00000000, 0000
0000
40521.00ns INFO [00005065] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 30000008 00000000 0001FE08 00000000 ..............&|0...............
0001FE00: 00000030 00000100 0001FE18 000039F8 0001FE2A 00000000 0001FF38 00003A80 ...0..........9....*.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
40601.00ns INFO [00005075] C0: CP 0:003A04 0000000000003A04
40609.00ns INFO [00005076] GPR Update: R09=000000000001FE2A
40641.00ns INFO [00005080] C0: CP 0:003A08 1:003A0C 0000000000003A08
40649.00ns INFO [00005081] GPR Update: R09=0000000000000029
40649.00ns INFO [00005081] CR Update: F0=4
40657.00ns INFO [00005082] C0: CP 0:003A10 1:0039E8 0000000000003A10
40665.00ns INFO [00005083] C0: CP 0:0039EC 1:0039F0 00000000000039EC
40665.00ns INFO [00005083] GPR Update: R09=000000000001FE2A
40673.00ns INFO [00005084] C0: CP 0:0039F4 1:003890 00000000000039F4
40673.00ns INFO [00005084] GPR Update: R03=0000000000000029
40673.00ns INFO [00005084] GPR Update: R09=0000000000000029
40681.00ns INFO [00005085] C0: CP 0:003894 1:003898 0000000000003894
40681.00ns INFO [00005085] GPR Update: R01=000000000001FDF8
40681.00ns INFO [00005085] LR Update:00000000000039F8
40689.00ns INFO [00005086] C0: CP 0:00389C 1:0038A0 000000000000389C
40689.00ns INFO [00005086] GPR Update: R00=00000000000039F8
40697.00ns INFO [00005087] C0: CP 0:0038A4 1:0038A8 00000000000038A4
40697.00ns INFO [00005087] GPR Update: R09=0000000000020000
40705.00ns INFO [00005088] C0: CP 0:0038AC 1:0038B0 00000000000038AC
40705.00ns INFO [00005088] GPR Update: R09=0000000000000C00
40705.00ns INFO [00005088] CR Update: F0=4
40713.00ns INFO [00005089] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
40713.00ns INFO [00005089] GPR Update: R09=0000000000020000
0001FDF0
0001FDF0, 4BFFFE9D000000000001FE087D234B78, 0000000011110000
0001FDF0, 4BFFFE9D, 0000
0000
0001FDF4, 00000000, 0000
0000
0001FDF8, 0001FE08, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130568
40729.00ns INFO [00005091] Mem Update: @0001FDF8 0001FE08->0001FE08
0001FDFC, 7D234B78, 0000
0000
40769.00ns INFO [00005096] C0: CP 0:0038B4 00000000000038B4
40777.00ns INFO [00005097] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
40777.00ns INFO [00005097] GPR Update: R09=0000000000000C00
0001FE00
0001FE00, 7D234B784BFFFE9D00000000000039F8, 0000000000001111
0001FE00, 7D234B78, 0000
0000
0001FE04, 4BFFFE9D, 0000
0000
0001FE08, 00000000, 0000
0000
0001FE0C, 000039F8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
14840
40793.00ns INFO [00005099] Mem Update: @0001FE0C 000039F8->000039F8
40801.00ns INFO [00005100] ...tick...
40841.00ns INFO [00005105] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=000000297D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 000000297D234B784BFFFE9D00000000, 1111000000000000
0001FE00, 00000029, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
41
40857.00ns INFO [00005107] Mem Update: @0001FE00 00000030->00000029
0001FE04, 7D234B78, 0000
0000
0001FE08, 4BFFFE9D, 0000
0000
0001FE0C, 00000000, 0000
0000
40857.00ns INFO [00005107] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 30000008 00000000 0001FE08 00000000 ..............&|0...............
0001FE00: 00000029 00000100 0001FE18 000039F8 0001FE2A 00000000 0001FF38 00003A80 ...)..........9....*.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
40937.00ns INFO [00005117] C0: CP 0:0038B8 00000000000038B8
40945.00ns INFO [00005118] C0: CP 0:0038BC 00000000000038BC
40945.00ns INFO [00005118] GPR Update: R10=0000000000000029
40953.00ns INFO [00005119] C0: CP 0:0038C0 1:0038C4 00000000000038C0
40953.00ns INFO [00005119] GPR Update: R10=0000000000000029
40961.00ns INFO [00005120] C0: CP 0:0038C8 1:000C00 00000000000038C8
40961.00ns INFO [00005120] GPR Update: R03=0000000000000029
40961.00ns INFO [00005120] CTR Update:0000000000000C00
40969.00ns INFO [00005121] C0: CP 0:000C04 0000000000000C04
40969.00ns INFO [00005121] GPR Update: R01=000000000001FDE8
40969.00ns INFO [00005121] LR Update:00000000000038CC
40977.00ns INFO [00005122] GPR Update: R09=0000000000000029
41001.00ns INFO [00005125] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
41001.00ns INFO [00005125] C0: CP 0:000C08 1:000C0C 0000000000000C08
41009.00ns INFO [00005126] C0: CP 0:000C10 1:000C14 0000000000000C10
41009.00ns INFO [00005126] GPR Update: R09=0000000000010000
0001FDE0
0001FDE0, 4BFFFE9D000000000001FDF87D234B78, 0000000011110000
0001FDE0, 4BFFFE9D, 0000
0000
0001FDE4, 00000000, 0000
0000
0001FDE8, 0001FDF8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130552
41017.00ns INFO [00005127] Mem Update: @0001FDE8 0001FDF8->0001FDF8
0001FDEC, 7D234B78, 0000
0000
41017.00ns INFO [00005127] C0: CP 0:000C18 1:000C1C 0000000000000C18
41017.00ns INFO [00005127] GPR Update: R08=000000000001C00B
41017.00ns INFO [00005127] GPR Update: R09=000000000001C00A
41025.00ns INFO [00005128] GPR Update: R10=0000000000010000
41065.00ns INFO [00005133] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=297D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
0001FDF0
0001FDF0, 297D234B784BFFFE9D00000000000000, 1000000000000000
0001FDF0, 297D234B, 1000
1000
0
65280
16711680
4278190080
FF000000
00000008
687865856
41081.00ns INFO [00005135] Mem Update: @0001FDF0 30000008->29000008
0001FDF4, 784BFFFE, 0000
0000
0001FDF8, 9D000000, 0000
0000
0001FDFC, 00000000, 0000
0000
41081.00ns INFO [00005135] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 29000008 00000000 0001FE08 00000000 ..............&|)...............
0001FE00: 00000029 00000100 0001FE18 000039F8 0001FE2A 00000000 0001FF38 00003A80 ...)..........9....*.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
41129.00ns INFO [00005141] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00B7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C00B7D234B784BFFFE9D00000000, 1111000000000000
00012000, 0001C00B, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114699
41145.00ns INFO [00005143] Mem Update: @00012000 0001C00A->0001C00B
00012004, 7D234B78, 0000
0000
00012008, 4BFFFE9D, 0000
0000
0001200C, 00000000, 0000
0000
41161.00ns INFO [00005145] C0: CP 0:000C20 0000000000000C20
41169.00ns INFO [00005146] GPR Update: R10=0000000000000029
41177.00ns INFO [00005147] C0: CP 0:000C24 1:000C28 0000000000000C24
41185.00ns INFO [00005148] C0: CP 0:000C2C 1:000C30 0000000000000C2C
41193.00ns INFO [00005149] C0: CP 0:0038CC 1:0038D0 00000000000038CC
41193.00ns INFO [00005149] GPR Update: R01=000000000001FDF8
41201.00ns INFO [00005150] C0: CP 0:0038D4 1:0038D8 00000000000038D4
41201.00ns INFO [00005150] GPR Update: R03=0000000000000029
41201.00ns INFO [00005150] GPR Update: R09=0000000000000029
41209.00ns INFO [00005151] C0: CP 0:0038DC 1:0038E0 00000000000038DC
41209.00ns INFO [00005151] GPR Update: R00=00000000000039F8
41209.00ns INFO [00005151] LR Update:00000000000039F8
41217.00ns INFO [00005152] C0: CP 0:0039F8 1:0039FC 00000000000039F8
41217.00ns INFO [00005152] GPR Update: R01=000000000001FE08
41225.00ns INFO [00005153] T0 STORE 0001C00A tag=00 len=1 be=00200000 data=FFFE9D00000000000000297D234B784B00000000000000000000000000000000 WIMG:0
41225.00ns INFO [00005153] C0: CP 0:003A00 0000000000003A00
41225.00ns INFO [00005153] GPR Update: R09=000000000001FE2B
0001C000
0001C000, FFFE9D00000000000000297D234B784B, 0000000000100000
0001C000, FFFE9D00, 0000
0000
0001C004, 00000000, 0000
0000
0001C008, 0000297D, 0010
0010
0
0
0
65280
0000FF00
28300000
10496
41241.00ns INFO [00005155] Mem Update: @0001C008 28300000->28302900
0001C00C, 234B784B, 0000
0000
41241.00ns INFO [00005155] Print buffer:
0001C000: 7774660A 6D61696E 28302900 00000000 00000000 00000000 00000000 00000000 wtf.main(0).....................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
41289.00ns INFO [00005161] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE2B7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE10
0001FE10, 0001FE2B7D234B784BFFFE9D00000000, 1111000000000000
0001FE10, 0001FE2B, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130603
41305.00ns INFO [00005163] Mem Update: @0001FE10 0001FE2A->0001FE2B
0001FE14, 7D234B78, 0000
0000
0001FE18, 4BFFFE9D, 0000
0000
0001FE1C, 00000000, 0000
0000
41305.00ns INFO [00005163] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 29000008 00000000 0001FE08 00000000 ..............&|)...............
0001FE00: 00000029 00000100 0001FE18 000039F8 0001FE2B 00000000 0001FF38 00003A80 ...)..........9....+.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
41385.00ns INFO [00005173] C0: CP 0:003A04 0000000000003A04
41393.00ns INFO [00005174] GPR Update: R09=000000000001FE2B
41417.00ns INFO [00005177] C0: CP 0:003A08 0000000000003A08
41425.00ns INFO [00005178] C0: CP 0:003A0C 0000000000003A0C
41425.00ns INFO [00005178] GPR Update: R09=000000000000000A
41433.00ns INFO [00005179] C0: CP 0:003A10 1:0039E8 0000000000003A10
41433.00ns INFO [00005179] CR Update: F0=4
41441.00ns INFO [00005180] C0: CP 0:0039EC 1:0039F0 00000000000039EC
41441.00ns INFO [00005180] GPR Update: R09=000000000001FE2B
41449.00ns INFO [00005181] C0: CP 0:0039F4 1:003890 00000000000039F4
41449.00ns INFO [00005181] GPR Update: R03=000000000000000A
41449.00ns INFO [00005181] GPR Update: R09=000000000000000A
41457.00ns INFO [00005182] C0: CP 0:003894 1:003898 0000000000003894
41457.00ns INFO [00005182] GPR Update: R01=000000000001FDF8
41457.00ns INFO [00005182] LR Update:00000000000039F8
41465.00ns INFO [00005183] C0: CP 0:00389C 1:0038A0 000000000000389C
41465.00ns INFO [00005183] GPR Update: R00=00000000000039F8
41473.00ns INFO [00005184] C0: CP 0:0038A4 1:0038A8 00000000000038A4
41473.00ns INFO [00005184] GPR Update: R09=0000000000020000
41481.00ns INFO [00005185] C0: CP 0:0038AC 00000000000038AC
41481.00ns INFO [00005185] GPR Update: R09=0000000000000C00
41481.00ns INFO [00005185] CR Update: F0=4
41513.00ns INFO [00005189] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
41513.00ns INFO [00005189] C0: CP 0:0038B0 00000000000038B0
41521.00ns INFO [00005190] GPR Update: R09=0000000000020000
0001FDF0
0001FDF0, 4BFFFE9D000000000001FE087D234B78, 0000000011110000
0001FDF0, 4BFFFE9D, 0000
0000
0001FDF4, 00000000, 0000
0000
0001FDF8, 0001FE08, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130568
41529.00ns INFO [00005191] Mem Update: @0001FDF8 0001FE08->0001FE08
0001FDFC, 7D234B78, 0000
0000
41561.00ns INFO [00005195] C0: CP 0:0038B4 00000000000038B4
41569.00ns INFO [00005196] GPR Update: R09=0000000000000C00
41577.00ns INFO [00005197] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 7D234B784BFFFE9D00000000000039F8, 0000000000001111
0001FE00, 7D234B78, 0000
0000
0001FE04, 4BFFFE9D, 0000
0000
0001FE08, 00000000, 0000
0000
0001FE0C, 000039F8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
14840
41593.00ns INFO [00005199] Mem Update: @0001FE0C 000039F8->000039F8
41601.00ns INFO [00005200] ...tick...
41641.00ns INFO [00005205] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=0000000A7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE00
0001FE00, 0000000A7D234B784BFFFE9D00000000, 1111000000000000
0001FE00, 0000000A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
10
41657.00ns INFO [00005207] Mem Update: @0001FE00 00000029->0000000A
0001FE04, 7D234B78, 0000
0000
0001FE08, 4BFFFE9D, 0000
0000
0001FE0C, 00000000, 0000
0000
41657.00ns INFO [00005207] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 29000008 00000000 0001FE08 00000000 ..............&|)...............
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2B 00000000 0001FF38 00003A80 ..............9....+.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
41737.00ns INFO [00005217] C0: CP 0:0038B8 1:0038BC 00000000000038B8
41745.00ns INFO [00005218] GPR Update: R10=000000000000000A
41753.00ns INFO [00005219] C0: CP 0:0038C0 1:0038C4 00000000000038C0
41761.00ns INFO [00005220] C0: CP 0:0038C8 1:000C00 00000000000038C8
41761.00ns INFO [00005220] GPR Update: R03=000000000000000A
41761.00ns INFO [00005220] CTR Update:0000000000000C00
41769.00ns INFO [00005221] GPR Update: R01=000000000001FDE8
41769.00ns INFO [00005221] LR Update:00000000000038CC
41777.00ns INFO [00005222] C0: CP 0:000C04 0000000000000C04
41785.00ns INFO [00005223] GPR Update: R09=000000000000000A
41801.00ns INFO [00005225] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
41801.00ns INFO [00005225] C0: CP 0:000C08 1:000C0C 0000000000000C08
41809.00ns INFO [00005226] C0: CP 0:000C10 1:000C14 0000000000000C10
41809.00ns INFO [00005226] GPR Update: R09=0000000000010000
0001FDE0
0001FDE0, 4BFFFE9D000000000001FDF87D234B78, 0000000011110000
0001FDE0, 4BFFFE9D, 0000
0000
0001FDE4, 00000000, 0000
0000
0001FDE8, 0001FDF8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130552
41817.00ns INFO [00005227] Mem Update: @0001FDE8 0001FDF8->0001FDF8
0001FDEC, 7D234B78, 0000
0000
41817.00ns INFO [00005227] C0: CP 0:000C18 1:000C1C 0000000000000C18
41817.00ns INFO [00005227] GPR Update: R08=000000000001C00C
41817.00ns INFO [00005227] GPR Update: R09=000000000001C00B
41825.00ns INFO [00005228] GPR Update: R10=0000000000010000
41865.00ns INFO [00005233] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=0A7D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
0001FDF0
0001FDF0, 0A7D234B784BFFFE9D00000000000000, 1000000000000000
0001FDF0, 0A7D234B, 1000
1000
0
65280
16711680
4278190080
FF000000
00000008
167772160
41881.00ns INFO [00005235] Mem Update: @0001FDF0 29000008->0A000008
0001FDF4, 784BFFFE, 0000
0000
0001FDF8, 9D000000, 0000
0000
0001FDFC, 00000000, 0000
0000
41881.00ns INFO [00005235] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2B 00000000 0001FF38 00003A80 ..............9....+.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
41929.00ns INFO [00005241] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00C7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
00012000
00012000, 0001C00C7D234B784BFFFE9D00000000, 1111000000000000
00012000, 0001C00C, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
114700
41945.00ns INFO [00005243] Mem Update: @00012000 0001C00B->0001C00C
00012004, 7D234B78, 0000
0000
00012008, 4BFFFE9D, 0000
0000
0001200C, 00000000, 0000
0000
41961.00ns INFO [00005245] C0: CP 0:000C20 0000000000000C20
41969.00ns INFO [00005246] GPR Update: R10=000000000000000A
41977.00ns INFO [00005247] C0: CP 0:000C24 1:000C28 0000000000000C24
41985.00ns INFO [00005248] C0: CP 0:000C2C 1:000C30 0000000000000C2C
41993.00ns INFO [00005249] C0: CP 0:0038CC 1:0038D0 00000000000038CC
41993.00ns INFO [00005249] GPR Update: R01=000000000001FDF8
42001.00ns INFO [00005250] C0: CP 0:0038D4 1:0038D8 00000000000038D4
42001.00ns INFO [00005250] GPR Update: R03=000000000000000A
42001.00ns INFO [00005250] GPR Update: R09=000000000000000A
42009.00ns INFO [00005251] C0: CP 0:0038DC 1:0038E0 00000000000038DC
42009.00ns INFO [00005251] GPR Update: R00=00000000000039F8
42009.00ns INFO [00005251] LR Update:00000000000039F8
42017.00ns INFO [00005252] C0: CP 0:0039F8 1:0039FC 00000000000039F8
42017.00ns INFO [00005252] GPR Update: R01=000000000001FE08
42025.00ns INFO [00005253] T0 STORE 0001C00B tag=00 len=1 be=00100000 data=4BFFFE9D000000000000000A7D234B7800000000000000000000000000000000 WIMG:0
42025.00ns INFO [00005253] C0: CP 0:003A00 0000000000003A00
42025.00ns INFO [00005253] GPR Update: R09=000000000001FE2C
0001C000
0001C000, 4BFFFE9D000000000000000A7D234B78, 0000000000010000
0001C000, 4BFFFE9D, 0000
0000
0001C004, 00000000, 0000
0000
0001C008, 0000000A, 0001
0001
0
0
0
0
000000FF
28302900
10
42041.00ns INFO [00005255] Mem Update: @0001C008 28302900->2830290A
0001C00C, 7D234B78, 0000
0000
42041.00ns INFO [00005255] Print buffer:
0001C000: 7774660A 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 wtf.main(0).....................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
42089.00ns INFO [00005261] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE2C7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FE10
0001FE10, 0001FE2C7D234B784BFFFE9D00000000, 1111000000000000
0001FE10, 0001FE2C, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
130604
42105.00ns INFO [00005263] Mem Update: @0001FE10 0001FE2B->0001FE2C
0001FE14, 7D234B78, 0000
0000
0001FE18, 4BFFFE9D, 0000
0000
0001FE1C, 00000000, 0000
0000
42105.00ns INFO [00005263] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
42185.00ns INFO [00005273] C0: CP 0:003A04 0000000000003A04
42193.00ns INFO [00005274] GPR Update: R09=000000000001FE2C
42225.00ns INFO [00005278] C0: CP 0:003A08 1:003A0C 0000000000003A08
42233.00ns INFO [00005279] GPR Update: R09=0000000000000000
42233.00ns INFO [00005279] CR Update: F0=2
42241.00ns INFO [00005280] C0: CP 0:003A10 0000000000003A10
42361.00ns INFO [00005295] C0: CP 0:003A14 1:003A18 0000000000003A14
42401.00ns INFO [00005300] ...tick...
42401.00ns INFO [00005300] C0: CP 0:003A1C 0000000000003A1C
42409.00ns INFO [00005301] C0: CP 0:003A20 1:003A24 0000000000003A20
42409.00ns INFO [00005301] GPR Update: R00=0000000000003A80
42417.00ns INFO [00005302] C0: CP 0:003A28 0000000000003A28
42417.00ns INFO [00005302] GPR Update: R01=000000000001FE18
42417.00ns INFO [00005302] LR Update:0000000000003A80
42433.00ns INFO [00005304] C0: CP 0:003A80 1:003A84 0000000000003A80
42441.00ns INFO [00005305] C0: CP 0:003A88 0000000000003A88
42441.00ns INFO [00005305] GPR Update: R03=0000000000000008
42441.00ns INFO [00005305] GPR Update: R09=0000000000000008
42449.00ns INFO [00005306] C0: CP 0:003A8C 1:003A90 0000000000003A8C
42449.00ns INFO [00005306] GPR Update: R00=0000000000003B18
42457.00ns INFO [00005307] C0: CP 0:003A94 1:003B18 0000000000003A94
42457.00ns INFO [00005307] GPR Update: R01=000000000001FF38
42457.00ns INFO [00005307] LR Update:0000000000003B18
42465.00ns INFO [00005308] GPR Update: R09=0000000000000008
42481.00ns INFO [00005310] C0: CP 0:003B1C 0000000000003B1C
42537.00ns INFO [00005317] T0 STORE 0001FF40 tag=00 len=4 be=F0000000 data=000000087D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
0001FF40
0001FF40, 000000087D234B784BFFFE9D00000000, 1111000000000000
0001FF40, 00000008, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
8
42553.00ns INFO [00005319] Mem Update: @0001FF40 XXXXXXXX->00000008
0001FF44, 7D234B78, 0000
0000
0001FF48, 4BFFFE9D, 0000
0000
0001FF4C, 00000000, 0000
0000
42553.00ns INFO [00005319] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
42633.00ns INFO [00005329] C0: CP 0:003B20 1:003B24 0000000000003B20
42641.00ns INFO [00005330] C0: CP 0:003B28 1:003B2C 0000000000003B28
42641.00ns INFO [00005330] GPR Update: R03=0000000000000008
42641.00ns INFO [00005330] GPR Update: R09=0000000000000008
42649.00ns INFO [00005331] C0: CP 0:003B30 1:003B34 0000000000003B30
42649.00ns INFO [00005331] GPR Update: R00=0000000000000D3C
42649.00ns INFO [00005331] LR Update:0000000000000D3C
42657.00ns INFO [00005332] C0: CP 0:000D3C 1:000D40 0000000000000D3C
42657.00ns INFO [00005332] GPR Update: R01=000000000001FFB8
42665.00ns INFO [00005333] GPR Update: R09=0000000003000000
42697.00ns INFO [00005337] T0 STORE 0001FFEC tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D000000000300000000000000000000000000000000000000 WIMG:0
0001FFE0
0001FFE0, 7D234B784BFFFE9D0000000003000000, 0000000000001111
0001FFE0, 7D234B78, 0000
0000
0001FFE4, 4BFFFE9D, 0000
0000
0001FFE8, 00000000, 0000
0000
0001FFEC, 03000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
50331648
42713.00ns INFO [00005339] Mem Update: @0001FFEC XXXXXXXX->03000000
42713.00ns INFO [00005339] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
42737.00ns INFO [00005342] T0 IFETCH 00000DC0 tag=08 len=6 WIMG:0
42793.00ns INFO [00005349] C0: CP 0:000D44 0000000000000D44
42801.00ns INFO [00005350] GPR Update: R09=0000000003000000
42905.00ns INFO [00005363] T0 IFETCH 00000E00 tag=09 len=6 WIMG:0
42905.00ns INFO [00005363] C0: CP 0:000D48 0000000000000D48
43057.00ns INFO [00005382] C0: CP 0:000D4C 0000000000000D4C
43065.00ns INFO [00005383] C0: CP 0:000D50 0000000000000D50
43073.00ns INFO [00005384] GPR Update: R09=0000000000000000
43089.00ns INFO [00005386] C0: CP 0:000D54 0000000000000D54
43129.00ns INFO [00005391] T0 STORE 0001FFE8 tag=00 len=4 be=00F00000 data=4182000C00000000000000007C0A480000000000000000000000000000000000 WIMG:0
0001FFE0
0001FFE0, 4182000C00000000000000007C0A4800, 0000000011110000
0001FFE0, 4182000C, 0000
0000
0001FFE4, 00000000, 0000
0000
0001FFE8, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
43145.00ns INFO [00005393] Mem Update: @0001FFE8 XXXXXXXX->00000000
0001FFEC, 7C0A4800, 0000
0000
43201.00ns INFO [00005400] ...tick...
43233.00ns INFO [00005404] C0: CP 0:000D58 0000000000000D58
43241.00ns INFO [00005405] GPR Update: R09=0000000000000000
43345.00ns INFO [00005418] C0: CP 0:000D5C 1:000D60 0000000000000D5C
43353.00ns INFO [00005419] C0: CP 0:000D64 1:000D68 0000000000000D64
43361.00ns INFO [00005420] GPR Update: R09=0000000000000000
43401.00ns INFO [00005425] T0 STORE 0001FFE4 tag=00 len=4 be=0F000000 data=00000000000000007C0A48004182000C00000000000000000000000000000000 WIMG:0
0001FFE0
0001FFE0, 00000000000000007C0A48004182000C, 0000111100000000
0001FFE0, 00000000, 0000
0000
0001FFE4, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
43417.00ns INFO [00005427] Mem Update: @0001FFE4 XXXXXXXX->00000000
0001FFE8, 7C0A4800, 0000
0000
0001FFEC, 4182000C, 0000
0000
43497.00ns INFO [00005437] C0: CP 0:000D6C 0000000000000D6C
43505.00ns INFO [00005438] GPR Update: R09=0000000000000000
43569.00ns INFO [00005446] T0 IFETCH 00001180 tag=08 len=6 WIMG:0
43609.00ns INFO [00005451] C0: CP 0:000D70 1:000D74 0000000000000D70
43617.00ns INFO [00005452] C0: CP 0:000D78 1:000D7C 0000000000000D78
43625.00ns INFO [00005453] GPR Update: R09=0000000000000000
43689.00ns INFO [00005461] T0 STORE 0001FFE0 tag=00 len=4 be=F0000000 data=0000000060840006908500000000000000000000000000000000000000000000 WIMG:0
0001FFE0
0001FFE0, 00000000608400069085000000000000, 1111000000000000
0001FFE0, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
43705.00ns INFO [00005463] Mem Update: @0001FFE0 XXXXXXXX->00000000
0001FFE4, 60840006, 0000
0000
0001FFE8, 90850000, 0000
0000
0001FFEC, 00000000, 0000
0000
43729.00ns INFO [00005466] T0 IFETCH 000011C0 tag=09 len=6 WIMG:0
43785.00ns INFO [00005473] C0: CP 0:000D80 0000000000000D80
43793.00ns INFO [00005474] GPR Update: R09=0000000000000000
43897.00ns INFO [00005487] C0: CP 0:000D84 1:000D88 0000000000000D84
43905.00ns INFO [00005488] C0: CP 0:000D8C 1:000D90 0000000000000D8C
43913.00ns INFO [00005489] GPR Update: R09=FFFFFFFFFE000000
43953.00ns INFO [00005494] T0 STORE 0001FFDC tag=00 len=4 be=000F0000 data=8003000080230004FFFFFFFFFE00000000000000000000000000000000000000 WIMG:0
0001FFD0
0001FFD0, 8003000080230004FFFFFFFFFE000000, 0000000000001111
0001FFD0, 80030000, 0000
0000
0001FFD4, 80230004, 0000
0000
0001FFD8, FFFFFFFF, 0000
0000
0001FFDC, FE000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4261412864
43969.00ns INFO [00005496] Mem Update: @0001FFDC XXXXXXXX->FE000000
43969.00ns INFO [00005496] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
44001.00ns INFO [00005500] ...tick...
44049.00ns INFO [00005506] C0: CP 0:000D94 0000000000000D94
44057.00ns INFO [00005507] GPR Update: R09=00000000FE000000
44161.00ns INFO [00005520] C0: CP 0:000D98 1:000D9C 0000000000000D98
44257.00ns INFO [00005532] C0: CP 0:000DA0 0000000000000DA0
44265.00ns INFO [00005533] GPR Update: R09=00000000000018C0
44321.00ns INFO [00005540] C0: CP 0:000DA4 0000000000000DA4
44369.00ns INFO [00005546] T0 STORE 0001FFD8 tag=00 len=4 be=00F00000 data=8023000400000000000018C08003000000000000000000000000000000000000 WIMG:0
0001FFD0
0001FFD0, 8023000400000000000018C080030000, 0000000011110000
0001FFD0, 80230004, 0000
0000
0001FFD4, 00000000, 0000
0000
0001FFD8, 000018C0, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
6336
44385.00ns INFO [00005548] Mem Update: @0001FFD8 XXXXXXXX->000018C0
0001FFDC, 80030000, 0000
0000
44385.00ns INFO [00005548] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
44465.00ns INFO [00005558] C0: CP 0:000DA8 1:000DAC 0000000000000DA8
44473.00ns INFO [00005559] GPR Update: R09=000018C0000018C0
44497.00ns INFO [00005562] C0: CP 0:000DB0 0000000000000DB0
44545.00ns INFO [00005568] T0 STORE 0001FFD4 tag=00 len=4 be=0F000000 data=000018C0000018C0800300008023000400000000000000000000000000000000 WIMG:0
0001FFD0
0001FFD0, 000018C0000018C08003000080230004, 0000111100000000
0001FFD0, 000018C0, 0000
0000
0001FFD4, 000018C0, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
6336
44561.00ns INFO [00005570] Mem Update: @0001FFD4 XXXXXXXX->000018C0
0001FFD8, 80030000, 0000
0000
0001FFDC, 80230004, 0000
0000
44561.00ns INFO [00005570] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
44641.00ns INFO [00005580] C0: CP 0:000DB4 0000000000000DB4
44649.00ns INFO [00005581] GPR Update: R09=00000000000018C0
44801.00ns INFO [00005600] ...tick...
44849.00ns INFO [00005606] C0: CP 0:000DB8 0000000000000DB8
45001.00ns INFO [00005625] C0: CP 0:000DBC 0000000000000DBC
45009.00ns INFO [00005626] C0: CP 0:000DC0 0000000000000DC0
45017.00ns INFO [00005627] GPR Update: R09=0000000000000000
45033.00ns INFO [00005629] C0: CP 0:000DC4 0000000000000DC4
45073.00ns INFO [00005634] T0 STORE 0001FFD0 tag=00 len=4 be=F0000000 data=0000000080030000802300040000000000000000000000000000000000000000 WIMG:0
0001FFD0
0001FFD0, 00000000800300008023000400000000, 1111000000000000
0001FFD0, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
45089.00ns INFO [00005636] Mem Update: @0001FFD0 XXXXXXXX->00000000
0001FFD4, 80030000, 0000
0000
0001FFD8, 80230004, 0000
0000
0001FFDC, 00000000, 0000
0000
45113.00ns INFO [00005639] T0 LOAD 00001100 tag=00 len=4 WIMG:0
45177.00ns INFO [00005647] C0: CP 0:000DC8 0000000000000DC8
45185.00ns INFO [00005648] GPR Update: R09=0000000000000000
45289.00ns INFO [00005661] C0: CP 0:000DCC 1:000DD0 0000000000000DCC
45297.00ns INFO [00005662] C0: CP 0:000DD4 1:000DD8 0000000000000DD4
45305.00ns INFO [00005663] GPR Update: R09=0000000000000000
45337.00ns INFO [00005667] T0 STORE 0001FFCC tag=00 len=4 be=000F0000 data=6000000060000000000000000000000000000000000000000000000000000000 WIMG:0
0001FFC0
0001FFC0, 60000000600000000000000000000000, 0000000000001111
0001FFC0, 60000000, 0000
0000
0001FFC4, 60000000, 0000
0000
0001FFC8, 00000000, 0000
0000
0001FFCC, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
45353.00ns INFO [00005669] Mem Update: @0001FFCC XXXXXXXX->00000000
45433.00ns INFO [00005679] C0: CP 0:000DDC 0000000000000DDC
45441.00ns INFO [00005680] GPR Update: R09=0000000000000000
45545.00ns INFO [00005693] C0: CP 0:000DE0 1:000DE4 0000000000000DE0
45553.00ns INFO [00005694] C0: CP 0:000DE8 1:000DEC 0000000000000DE8
45561.00ns INFO [00005695] C0: CP 0:000DF0 1:001180 0000000000000DF0
45561.00ns INFO [00005695] GPR Update: R03=0000000000001060
45561.00ns INFO [00005695] GPR Update: R09=0000000000001060
45569.00ns INFO [00005696] C0: CP 0:001184 1:001188 0000000000001184
45577.00ns INFO [00005697] C0: CP 0:00118C 1:001190 000000000000118C
45577.00ns INFO [00005697] GPR Update: R01=000000000000000D
45577.00ns INFO [00005697] CTR Update:000000000000000D
45585.00ns INFO [00005698] C0: CP 0:001194 1:001198 0000000000001194
45585.00ns INFO [00005698] GPR Update: R01=0000000000001104
45585.00ns INFO [00005698] GPR Update: R02=0000000000001138
45593.00ns INFO [00005699] C0: CP 0:00119C 1:0011A0 000000000000119C
45593.00ns INFO [00005699] GPR Update: R04=000000007C61CC14
45593.00ns INFO [00005699] GPR Update: R05=0000000000010000
45601.00ns INFO [00005700] ...tick...
45601.00ns INFO [00005700] C0: CP 0:0011A4 00000000000011A4
45601.00ns INFO [00005700] GPR Update: R01=0000000000001108
45609.00ns INFO [00005701] GPR Update: R02=000000000000113C
45625.00ns INFO [00005703] T0 STORE 000010FC tag=00 len=4 be=000F0000 data=6000000060000000000000000001FFB800000000000000000000000000000000 WIMG:0
45633.00ns INFO [00005704] C0: CP 0:0011A8 00000000000011A8
000010F0
000010F0, 6000000060000000000000000001FFB8, 0000000000001111
000010F0, 60000000, 0000
0000
000010F4, 60000000, 0000
0000
000010F8, 00000000, 0000
0000
000010FC, 0001FFB8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
131000
45641.00ns INFO [00005705] Mem Update: @000010FC 00000000->0001FFB8
45641.00ns INFO [00005705] CTR Update:000000000000000C
45673.00ns INFO [00005709] C0: CP 0:001194 0000000000001194
45681.00ns INFO [00005710] C0: CP 0:001198 0000000000001198
45681.00ns INFO [00005710] GPR Update: R04=000000007D230595
45689.00ns INFO [00005711] GPR Update: R05=0000000000010004
45705.00ns INFO [00005713] T0 STORE 00010000 tag=00 len=4 be=F0000000 data=7C61CC1460000000600000000000000000000000000000000000000000000000 WIMG:0
45705.00ns INFO [00005713] C0: CP 0:00119C 1:0011A0 000000000000119C
45713.00ns INFO [00005714] C0: CP 0:0011A4 1:0011A8 00000000000011A4
45713.00ns INFO [00005714] GPR Update: R01=000000000000110C
00010000
00010000, 7C61CC14600000006000000000000000, 1111000000000000
00010000, 7C61CC14, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2086784020
45721.00ns INFO [00005715] Mem Update: @00010000 XXXXXXXX->7C61CC14
00010004, 60000000, 0000
0000
00010008, 60000000, 0000
0000
0001000C, 00000000, 0000
0000
45721.00ns INFO [00005715] C0: CP 0:001194 0000000000001194
45721.00ns INFO [00005715] GPR Update: R02=0000000000001140
45721.00ns INFO [00005715] CTR Update:000000000000000B
45729.00ns INFO [00005716] GPR Update: R04=000000007AC37392
45745.00ns INFO [00005718] T0 LOAD 00001140 tag=00 len=4 WIMG:0
45841.00ns INFO [00005730] C0: CP 0:001198 0000000000001198
45849.00ns INFO [00005731] T0 STORE 00010004 tag=00 len=4 be=0F000000 data=000000007D230595600000006000000000000000000000000000000000000000 WIMG:0
45849.00ns INFO [00005731] GPR Update: R05=0000000000010008
00010000
00010000, 000000007D2305956000000060000000, 0000111100000000
00010000, 00000000, 0000
0000
00010004, 7D230595, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2099447189
45865.00ns INFO [00005733] Mem Update: @00010004 XXXXXXXX->7D230595
00010008, 60000000, 0000
0000
0001000C, 60000000, 0000
0000
45913.00ns INFO [00005739] C0: CP 0:00119C 1:0011A0 000000000000119C
45921.00ns INFO [00005740] C0: CP 0:0011A4 1:0011A8 00000000000011A4
45921.00ns INFO [00005740] GPR Update: R01=0000000000001110
45929.00ns INFO [00005741] C0: CP 0:001194 0000000000001194
45929.00ns INFO [00005741] GPR Update: R02=0000000000001144
45929.00ns INFO [00005741] CTR Update:000000000000000A
45937.00ns INFO [00005742] C0: CP 0:001198 0000000000001198
45937.00ns INFO [00005742] GPR Update: R04=000000007E094C11
45945.00ns INFO [00005743] GPR Update: R05=000000000001000C
45961.00ns INFO [00005745] T0 STORE 00010008 tag=00 len=4 be=00F00000 data=60000000000000007AC373926000000000000000000000000000000000000000 WIMG:0
45961.00ns INFO [00005745] C0: CP 0:00119C 1:0011A0 000000000000119C
45969.00ns INFO [00005746] C0: CP 0:0011A4 1:0011A8 00000000000011A4
45969.00ns INFO [00005746] GPR Update: R01=0000000000001114
00010000
00010000, 60000000000000007AC3739260000000, 0000000011110000
00010000, 60000000, 0000
0000
00010004, 00000000, 0000
0000
00010008, 7AC37392, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2059629458
45977.00ns INFO [00005747] Mem Update: @00010008 XXXXXXXX->7AC37392
0001000C, 60000000, 0000
0000
45977.00ns INFO [00005747] C0: CP 0:001194 0000000000001194
45977.00ns INFO [00005747] GPR Update: R02=0000000000001148
45977.00ns INFO [00005747] CTR Update:0000000000000009
45985.00ns INFO [00005748] C0: CP 0:001198 1:00119C 0000000000001198
45985.00ns INFO [00005748] GPR Update: R04=000000007E1CB115
45993.00ns INFO [00005749] C0: CP 0:0011A0 1:0011A4 00000000000011A0
45993.00ns INFO [00005749] GPR Update: R05=0000000000010010
46001.00ns INFO [00005750] C0: CP 0:0011A8 00000000000011A8
46001.00ns INFO [00005750] GPR Update: R01=0000000000001118
46001.00ns INFO [00005750] GPR Update: R02=000000000000114C
46009.00ns INFO [00005751] CTR Update:0000000000000008
46041.00ns INFO [00005755] T0 STORE 0001000C tag=00 len=4 be=000F0000 data=6000000060000000000000007E094C1100000000000000000000000000000000 WIMG:0
46041.00ns INFO [00005755] C0: CP 0:001194 0000000000001194
46049.00ns INFO [00005756] C0: CP 0:001198 0000000000001198
46049.00ns INFO [00005756] GPR Update: R04=000000007A338886
00010000
00010000, 6000000060000000000000007E094C11, 0000000000001111
00010000, 60000000, 0000
0000
00010004, 60000000, 0000
0000
00010008, 00000000, 0000
0000
0001000C, 7E094C11, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2114538513
46057.00ns INFO [00005757] Mem Update: @0001000C XXXXXXXX->7E094C11
46057.00ns INFO [00005757] GPR Update: R05=0000000000010014
46073.00ns INFO [00005759] C0: CP 0:00119C 1:0011A0 000000000000119C
46081.00ns INFO [00005760] C0: CP 0:0011A4 1:0011A8 00000000000011A4
46081.00ns INFO [00005760] GPR Update: R01=000000000000111C
46089.00ns INFO [00005761] C0: CP 0:001194 0000000000001194
46089.00ns INFO [00005761] GPR Update: R02=0000000000001150
46089.00ns INFO [00005761] CTR Update:0000000000000007
46097.00ns INFO [00005762] C0: CP 0:001198 0000000000001198
46097.00ns INFO [00005762] GPR Update: R04=000000007C6004D1
46105.00ns INFO [00005763] T0 STORE 00010010 tag=00 len=4 be=F0000000 data=7E1CB11560000000600000000000000000000000000000000000000000000000 WIMG:0
46105.00ns INFO [00005763] GPR Update: R05=0000000000010018
00010010
00010010, 7E1CB115600000006000000000000000, 1111000000000000
00010010, 7E1CB115, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2115809557
46121.00ns INFO [00005765] Mem Update: @00010010 XXXXXXXX->7E1CB115
00010014, 60000000, 0000
0000
00010018, 60000000, 0000
0000
0001001C, 00000000, 0000
0000
46121.00ns INFO [00005765] C0: CP 0:00119C 1:0011A0 000000000000119C
46129.00ns INFO [00005766] C0: CP 0:0011A4 1:0011A8 00000000000011A4
46129.00ns INFO [00005766] GPR Update: R01=0000000000001120
46137.00ns INFO [00005767] C0: CP 0:001194 0000000000001194
46137.00ns INFO [00005767] GPR Update: R02=0000000000001154
46137.00ns INFO [00005767] CTR Update:0000000000000006
46145.00ns INFO [00005768] C0: CP 0:001198 0000000000001198
46145.00ns INFO [00005768] GPR Update: R04=000000007E09B038
46153.00ns INFO [00005769] GPR Update: R05=000000000001001C
46169.00ns INFO [00005771] T0 STORE 00010014 tag=00 len=4 be=0F000000 data=000000007A338886600000006000000000000000000000000000000000000000 WIMG:0
46169.00ns INFO [00005771] C0: CP 0:00119C 1:0011A0 000000000000119C
46177.00ns INFO [00005772] C0: CP 0:0011A4 1:0011A8 00000000000011A4
46177.00ns INFO [00005772] GPR Update: R01=0000000000001124
00010010
00010010, 000000007A3388866000000060000000, 0000111100000000
00010010, 00000000, 0000
0000
00010014, 7A338886, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2050197638
46185.00ns INFO [00005773] Mem Update: @00010014 XXXXXXXX->7A338886
00010018, 60000000, 0000
0000
0001001C, 60000000, 0000
0000
46185.00ns INFO [00005773] GPR Update: R02=0000000000001158
46185.00ns INFO [00005773] CTR Update:0000000000000005
46201.00ns INFO [00005775] C0: CP 0:001194 0000000000001194
46209.00ns INFO [00005776] C0: CP 0:001198 0000000000001198
46209.00ns INFO [00005776] GPR Update: R04=000000007C360591
46217.00ns INFO [00005777] GPR Update: R05=0000000000010020
46233.00ns INFO [00005779] C0: CP 0:00119C 1:0011A0 000000000000119C
46241.00ns INFO [00005780] C0: CP 0:0011A4 1:0011A8 00000000000011A4
46241.00ns INFO [00005780] GPR Update: R01=0000000000001128
46249.00ns INFO [00005781] T0 STORE 00010018 tag=00 len=4 be=00F00000 data=60000000000000007C6004D16000000000000000000000000000000000000000 WIMG:0
46249.00ns INFO [00005781] C0: CP 0:001194 0000000000001194
46249.00ns INFO [00005781] GPR Update: R02=000000000000115C
46249.00ns INFO [00005781] CTR Update:0000000000000004
46257.00ns INFO [00005782] C0: CP 0:001198 0000000000001198
46257.00ns INFO [00005782] GPR Update: R04=000000007E2B00D1
00010010
00010010, 60000000000000007C6004D160000000, 0000000011110000
00010010, 60000000, 0000
0000
00010014, 00000000, 0000
0000
00010018, 7C6004D1, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2086667473
46265.00ns INFO [00005783] Mem Update: @00010018 XXXXXXXX->7C6004D1
0001001C, 60000000, 0000
0000
46265.00ns INFO [00005783] GPR Update: R05=0000000000010024
46281.00ns INFO [00005785] C0: CP 0:00119C 1:0011A0 000000000000119C
46289.00ns INFO [00005786] C0: CP 0:0011A4 1:0011A8 00000000000011A4
46289.00ns INFO [00005786] GPR Update: R01=000000000000112C
46297.00ns INFO [00005787] C0: CP 0:001194 0000000000001194
46297.00ns INFO [00005787] GPR Update: R02=0000000000001160
46297.00ns INFO [00005787] CTR Update:0000000000000003
46305.00ns INFO [00005788] C0: CP 0:001198 0000000000001198
46305.00ns INFO [00005788] GPR Update: R04=0000000060000000
46313.00ns INFO [00005789] T0 STORE 0001001C tag=00 len=4 be=000F0000 data=6000000060000000000000007E09B03800000000000000000000000000000000 WIMG:0
46313.00ns INFO [00005789] GPR Update: R05=0000000000010028
00010010
00010010, 6000000060000000000000007E09B038, 0000000000001111
00010010, 60000000, 0000
0000
00010014, 60000000, 0000
0000
00010018, 00000000, 0000
0000
0001001C, 7E09B038, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2114564152
46329.00ns INFO [00005791] Mem Update: @0001001C XXXXXXXX->7E09B038
46329.00ns INFO [00005791] C0: CP 0:00119C 1:0011A0 000000000000119C
46337.00ns INFO [00005792] C0: CP 0:0011A4 1:0011A8 00000000000011A4
46337.00ns INFO [00005792] GPR Update: R01=0000000000001130
46345.00ns INFO [00005793] GPR Update: R02=0000000000001164
46345.00ns INFO [00005793] CTR Update:0000000000000002
46361.00ns INFO [00005795] C0: CP 0:001194 0000000000001194
46369.00ns INFO [00005796] C0: CP 0:001198 0000000000001198
46369.00ns INFO [00005796] GPR Update: R04=0000000060000000
46377.00ns INFO [00005797] T0 STORE 00010020 tag=00 len=4 be=F0000000 data=7C36059160000000600000000000000000000000000000000000000000000000 WIMG:0
46377.00ns INFO [00005797] GPR Update: R05=000000000001002C
00010020
00010020, 7C360591600000006000000000000000, 1111000000000000
00010020, 7C360591, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2083915153
46393.00ns INFO [00005799] Mem Update: @00010020 XXXXXXXX->7C360591
00010024, 60000000, 0000
0000
00010028, 60000000, 0000
0000
0001002C, 00000000, 0000
0000
46393.00ns INFO [00005799] C0: CP 0:00119C 1:0011A0 000000000000119C
46401.00ns INFO [00005800] ...tick...
46401.00ns INFO [00005800] C0: CP 0:0011A4 1:0011A8 00000000000011A4
46401.00ns INFO [00005800] GPR Update: R01=0000000000001134
46409.00ns INFO [00005801] C0: CP 0:001194 0000000000001194
46409.00ns INFO [00005801] GPR Update: R02=0000000000001168
46409.00ns INFO [00005801] CTR Update:0000000000000001
46417.00ns INFO [00005802] C0: CP 0:001198 0000000000001198
46417.00ns INFO [00005802] GPR Update: R04=0000000060000000
46425.00ns INFO [00005803] GPR Update: R05=0000000000010030
46441.00ns INFO [00005805] T0 STORE 00010024 tag=00 len=4 be=0F000000 data=000000007E2B00D1600000006000000000000000000000000000000000000000 WIMG:0
46441.00ns INFO [00005805] C0: CP 0:00119C 1:0011A0 000000000000119C
46449.00ns INFO [00005806] C0: CP 0:0011A4 1:0011A8 00000000000011A4
46449.00ns INFO [00005806] GPR Update: R01=0000000000001138
00010020
00010020, 000000007E2B00D16000000060000000, 0000111100000000
00010020, 00000000, 0000
0000
00010024, 7E2B00D1, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2116747473
46457.00ns INFO [00005807] Mem Update: @00010024 XXXXXXXX->7E2B00D1
00010028, 60000000, 0000
0000
0001002C, 60000000, 0000
0000
46457.00ns INFO [00005807] GPR Update: R02=000000000000116C
46457.00ns INFO [00005807] CTR Update:0000000000000000
46505.00ns INFO [00005813] T0 STORE 00010028 tag=00 len=4 be=00F00000 data=6000000000000000600000006000000000000000000000000000000000000000 WIMG:0
00010020
00010020, 60000000000000006000000060000000, 0000000011110000
00010020, 60000000, 0000
0000
00010024, 00000000, 0000
0000
00010028, 60000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1610612736
46521.00ns INFO [00005815] Mem Update: @00010028 XXXXXXXX->60000000
0001002C, 60000000, 0000
0000
46545.00ns INFO [00005818] T0 IFETCH 00001200 tag=08 len=6 WIMG:0
46561.00ns INFO [00005820] C0: CP 0:0011AC 00000000000011AC
46569.00ns INFO [00005821] GPR Update: R04=0000000048000000
46577.00ns INFO [00005822] C0: CP 0:0011B0 00000000000011B0
46585.00ns INFO [00005823] GPR Update: R04=0000000048000006
46601.00ns INFO [00005825] C0: CP 0:0011B4 00000000000011B4
46649.00ns INFO [00005831] T0 STORE 0001002C tag=00 len=4 be=000F0000 data=8223004482430048000000006000000000000000000000000000000000000000 WIMG:0
00010020
00010020, 82230044824300480000000060000000, 0000000000001111
00010020, 82230044, 0000
0000
00010024, 82430048, 0000
0000
00010028, 00000000, 0000
0000
0001002C, 60000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1610612736
46665.00ns INFO [00005833] Mem Update: @0001002C XXXXXXXX->60000000
46689.00ns INFO [00005836] T0 LOAD 000010F4 tag=00 len=4 WIMG:0
46793.00ns INFO [00005849] T0 STORE 00010030 tag=00 len=4 be=F0000000 data=60000000FFFFFFFF000011040000000000000000000000000000000000000000 WIMG:0
00010030
00010030, 60000000FFFFFFFF0000110400000000, 1111000000000000
00010030, 60000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1610612736
46809.00ns INFO [00005851] Mem Update: @00010030 XXXXXXXX->60000000
00010034, FFFFFFFF, 0000
0000
00010038, 00001104, 0000
0000
0001003C, 00000000, 0000
0000
46809.00ns INFO [00005851] C0: CP 0:0011B8 00000000000011B8
46817.00ns INFO [00005852] GPR Update: R01=0000000000001104
46833.00ns INFO [00005854] T0 IFETCH 00001240 tag=09 len=6 WIMG:0
46921.00ns INFO [00005865] C0: CP 0:0011BC 1:0011C0 00000000000011BC
46929.00ns INFO [00005866] GPR Update: R01=0000000000010000
46937.00ns INFO [00005867] T0 STORE 00010030 tag=00 len=4 be=F0000000 data=480000064C0000644800000C0000000000000000000000000000000000000000 WIMG:0
00010030
00010030, 480000064C0000644800000C00000000, 1111000000000000
00010030, 48000006, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1207959558
46953.00ns INFO [00005869] Mem Update: @00010030 60000000->48000006
00010034, 4C000064, 0000
0000
00010038, 4800000C, 0000
0000
0001003C, 00000000, 0000
0000
47033.00ns INFO [00005879] C0: CP 0:0011C4 1:0011C8 00000000000011C4
47041.00ns INFO [00005880] C0: CP 0:0011CC 1:000000 00000000000011CC
47041.00ns INFO [00005880] GPR Update: R01=00000000DBFD3628
47049.00ns INFO [00005881] C0: CP 0:000000 1:000000 00000000000011CC
47049.00ns INFO [00005881] CR Update: F0=D
47057.00ns INFO [00005882] C0: CP 0:000000 1:000000 00000000000011CC
47057.00ns INFO [00005882] CR Update: F1=B
47057.00ns INFO [00005882] CR Update: F2=F
47065.00ns INFO [00005883] C0: CP 0:000000 1:000000 00000000000011CC
47065.00ns INFO [00005883] CR Update: F3=D
47065.00ns INFO [00005883] CR Update: F4=3
47073.00ns INFO [00005884] C0: CP 0:000000 1:0011D0 00000000000011CC
47073.00ns INFO [00005884] CR Update: F5=6
47073.00ns INFO [00005884] CR Update: F6=2
47081.00ns INFO [00005885] C0: CP 0:0011D4 1:0011D8 00000000000011D4
47081.00ns INFO [00005885] GPR Update: R01=0000000089F0006E
47081.00ns INFO [00005885] CR Update: F7=8
47089.00ns INFO [00005886] C0: CP 0:0011DC 00000000000011DC
47089.00ns INFO [00005886] GPR Update: R01=00000000FFFFFFFF
47089.00ns INFO [00005886] XER Update: SO/OV/CA=100 LEN=6E
47097.00ns INFO [00005887] CTR Update:00000000FFFFFFFF
47137.00ns INFO [00005892] T0 LOAD 00001060 tag=00 len=4 WIMG:0
47161.00ns INFO [00005895] C0: CP 0:0011E0 00000000000011E0
47169.00ns INFO [00005896] C0: CP 0:0011E4 1:0011E8 00000000000011E4
47169.00ns INFO [00005896] GPR Update: R01=00000000FFFFFFFF
47177.00ns INFO [00005897] C0: CP 0:0011EC 00000000000011EC
47177.00ns INFO [00005897] GPR Update: R01=00000000FFFFFFFF
47177.00ns INFO [00005897] LR Update:00000000FFFFFFFF
47201.00ns INFO [00005900] ...tick...
47249.00ns INFO [00005906] C0: CP 0:0011F0 00000000000011F0
47257.00ns INFO [00005907] GPR Update: R00=0000000000000000
47305.00ns INFO [00005913] T0 IFETCH 00001280 tag=08 len=6 WIMG:0
47329.00ns INFO [00005916] C0: CP 0:0011F4 00000000000011F4
47337.00ns INFO [00005917] C0: CP 0:0011F8 00000000000011F8
47337.00ns INFO [00005917] GPR Update: R01=000000005822C905
47345.00ns INFO [00005918] C0: CP 0:0011FC 00000000000011FC
47345.00ns INFO [00005918] GPR Update: R02=00000000FFFFFFFF
47353.00ns INFO [00005919] C0: CP 0:001200 0000000000001200
47353.00ns INFO [00005919] GPR Update: R04=00000000FFFFFFFF
47361.00ns INFO [00005920] C0: CP 0:001204 0000000000001204
47361.00ns INFO [00005920] GPR Update: R05=00000000FFFFFFFF
47369.00ns INFO [00005921] C0: CP 0:001208 0000000000001208
47369.00ns INFO [00005921] GPR Update: R06=00000000FFFFFFFF
47377.00ns INFO [00005922] GPR Update: R07=00000000FFFFFFFF
47473.00ns INFO [00005934] T0 LOAD 00001080 tag=01 len=4 WIMG:0
47569.00ns INFO [00005946] C0: CP 0:00120C 000000000000120C
47577.00ns INFO [00005947] GPR Update: R08=00000000FFFFFFFF
47641.00ns INFO [00005955] T0 IFETCH 000012C0 tag=09 len=6 WIMG:0
47665.00ns INFO [00005958] C0: CP 0:001210 0000000000001210
47673.00ns INFO [00005959] C0: CP 0:001214 0000000000001214
47673.00ns INFO [00005959] GPR Update: R09=000000007E11EE88
47681.00ns INFO [00005960] C0: CP 0:001218 0000000000001218
47681.00ns INFO [00005960] GPR Update: R10=00000000FFFFFFFF
47689.00ns INFO [00005961] C0: CP 0:00121C 000000000000121C
47689.00ns INFO [00005961] GPR Update: R11=000000007FFFFFFF
47697.00ns INFO [00005962] C0: CP 0:001220 0000000000001220
47697.00ns INFO [00005962] GPR Update: R12=00000000FFFFFFFF
47705.00ns INFO [00005963] C0: CP 0:001224 0000000000001224
47705.00ns INFO [00005963] GPR Update: R13=00000000FFFFFFFF
47713.00ns INFO [00005964] C0: CP 0:001228 0000000000001228
47713.00ns INFO [00005964] GPR Update: R14=000000008C20BDE6
47721.00ns INFO [00005965] C0: CP 0:00122C 000000000000122C
47721.00ns INFO [00005965] GPR Update: R15=00000000FFFFFFFF
47729.00ns INFO [00005966] C0: CP 0:001230 0000000000001230
47729.00ns INFO [00005966] GPR Update: R16=0000000076D0DADF
47737.00ns INFO [00005967] C0: CP 0:001234 0000000000001234
47737.00ns INFO [00005967] GPR Update: R17=0000000015111F42
47745.00ns INFO [00005968] C0: CP 0:001238 0000000000001238
47745.00ns INFO [00005968] GPR Update: R18=00000000FFFFFFFF
47753.00ns INFO [00005969] C0: CP 0:00123C 000000000000123C
47753.00ns INFO [00005969] GPR Update: R19=0000000036108E50
47761.00ns INFO [00005970] C0: CP 0:001240 0000000000001240
47761.00ns INFO [00005970] GPR Update: R20=00000000FFFFFFFF
47769.00ns INFO [00005971] C0: CP 0:001244 0000000000001244
47769.00ns INFO [00005971] GPR Update: R21=00000000FFFFFFFF
47777.00ns INFO [00005972] C0: CP 0:001248 0000000000001248
47777.00ns INFO [00005972] GPR Update: R22=00000000328A0CED
47785.00ns INFO [00005973] C0: CP 0:00124C 000000000000124C
47785.00ns INFO [00005973] GPR Update: R23=00000000FFFFFFFF
47793.00ns INFO [00005974] GPR Update: R24=00000000FFFFFFFF
47801.00ns INFO [00005975] C0: CP 0:001250 0000000000001250
47809.00ns INFO [00005976] C0: CP 0:001254 0000000000001254
47809.00ns INFO [00005976] GPR Update: R25=00000000AF224C19
47817.00ns INFO [00005977] C0: CP 0:001258 0000000000001258
47817.00ns INFO [00005977] GPR Update: R26=00000000FFFFFFFF
47825.00ns INFO [00005978] C0: CP 0:00125C 000000000000125C
47825.00ns INFO [00005978] GPR Update: R27=00000000FFFFFFFF
47833.00ns INFO [00005979] C0: CP 0:001260 0000000000001260
47833.00ns INFO [00005979] GPR Update: R28=00000000D624B27A
47841.00ns INFO [00005980] C0: CP 0:001264 0000000000001264
47841.00ns INFO [00005980] GPR Update: R29=00000000FFFFFFFF
47849.00ns INFO [00005981] C0: CP 0:001268 0000000000001268
47849.00ns INFO [00005981] GPR Update: R30=00000000FFFFFFFF
47857.00ns INFO [00005982] C0: CP 0:00126C 1:001270 000000000000126C
47857.00ns INFO [00005982] GPR Update: R31=00000000FFFFFFFF
47865.00ns INFO [00005983] GPR Update: R03=0000000091B6D1A3
47969.00ns INFO [00005996] T0 IFETCH 00010000 tag=08 len=6 WIMG:0
48001.00ns INFO [00006000] ...tick...
48129.00ns INFO [00006016] C0: CP 0:010000 0000000000010000
48137.00ns INFO [00006017] T0 IFETCH 00010040 tag=09 len=6 WIMG:0
48137.00ns INFO [00006017] GPR Update: R03=000000010745151E
48137.00ns INFO [00006017] XER Update: SO/OV/CA=101 LEN=6E
48281.00ns INFO [00006035] C0: CP 0:010004 0000000000010004
48289.00ns INFO [00006036] GPR Update: R09=000000010745151F
48289.00ns INFO [00006036] CR Update: F0=5
48289.00ns INFO [00006036] XER Update: SO/OV/CA=100 LEN=6E
48321.00ns INFO [00006040] T0 IFETCH 00001020 tag=08 len=6 WIMG:0
48433.00ns INFO [00006054] C0: CP 0:010008 0000000000010008
48441.00ns INFO [00006055] GPR Update: R03=A282000000000000
48585.00ns INFO [00006073] C0: CP 0:01000C 000000000001000C
48593.00ns INFO [00006074] GPR Update: R16=0000000000000000
48593.00ns INFO [00006074] CR Update: F0=3
48593.00ns INFO [00006074] XER Update: SO/OV/CA=101 LEN=6E
48737.00ns INFO [00006092] C0: CP 0:010010 0000000000010010
48745.00ns INFO [00006093] GPR Update: R16=0000000108AEBF68
48745.00ns INFO [00006093] CR Update: F0=5
48745.00ns INFO [00006093] XER Update: SO/OV/CA=101 LEN=6E
48801.00ns INFO [00006100] ...tick...
48889.00ns INFO [00006111] C0: CP 0:010014 0000000000010014
48897.00ns INFO [00006112] GPR Update: R19=2000000000000000
49041.00ns INFO [00006130] C0: CP 0:010018 0000000000010018
49049.00ns INFO [00006131] GPR Update: R03=0000000000000000
49049.00ns INFO [00006131] CR Update: F0=3
49049.00ns INFO [00006131] XER Update: SO/OV/CA=101 LEN=6E
49193.00ns INFO [00006149] C0: CP 0:01001C 000000000001001C
49201.00ns INFO [00006150] GPR Update: R09=00000000008A0C68
49345.00ns INFO [00006168] C0: CP 0:010020 0000000000010020
49353.00ns INFO [00006169] GPR Update: R01=FFFFFFFFCD75F313
49353.00ns INFO [00006169] CR Update: F0=9
49353.00ns INFO [00006169] XER Update: SO/OV/CA=100 LEN=6E
49497.00ns INFO [00006187] C0: CP 0:010024 0000000000010024
49505.00ns INFO [00006188] GPR Update: R17=FFFFFFFF80000001
49505.00ns INFO [00006188] CR Update: F0=9
49601.00ns INFO [00006200] ...tick...
49649.00ns INFO [00006206] C0: CP 0:010028 0000000000010028
49801.00ns INFO [00006225] C0: CP 0:01002C 000000000001002C
49945.00ns INFO [00006243] C0: CP 0:010030 0000000000010030
50049.00ns INFO [00006256] C0: CP 0:000004 0000000000000004
50153.00ns INFO [00006269] T0 IFETCH 00000120 tag=08 len=6 WIMG:0
50321.00ns INFO [00006290] T0 IFETCH 00000140 tag=09 len=6 WIMG:0
50321.00ns INFO [00006290] C0: CP 0:000120 0000000000000120
50401.00ns INFO [00006300] ...tick...
50465.00ns INFO [00006308] C0: CP 0:001274 0000000000001274
50513.00ns INFO [00006314] C0: CP 0:001280 1:001284 0000000000001280
50521.00ns INFO [00006315] C0: CP 0:001288 0000000000001288
50521.00ns INFO [00006315] GPR Update: R01=0000000000000000
50529.00ns INFO [00006316] GPR Update: R01=0000000000001360
50537.00ns INFO [00006317] T0 IFETCH 00001300 tag=08 len=6 WIMG:0
50577.00ns INFO [00006322] C0: CP 0:00128C 000000000000128C
50585.00ns INFO [00006323] C0: CP 0:001290 0000000000001290
50593.00ns INFO [00006324] C0: CP 0:001294 0000000000001294
50601.00ns INFO [00006325] C0: CP 0:001298 0000000000001298
50609.00ns INFO [00006326] C0: CP 0:00129C 000000000000129C
50617.00ns INFO [00006327] C0: CP 0:0012A0 00000000000012A0
50625.00ns INFO [00006328] C0: CP 0:0012A4 00000000000012A4
50633.00ns INFO [00006329] C0: CP 0:0012A8 00000000000012A8
50641.00ns INFO [00006330] T0 STORE 00001360 tag=00 len=4 be=F0000000 data=000000007C4FCAA6904100900000000000000000000000000000000000000000 WIMG:0
50641.00ns INFO [00006330] C0: CP 0:0012AC 00000000000012AC
50649.00ns INFO [00006331] C0: CP 0:0012B0 00000000000012B0
00001360
00001360, 000000007C4FCAA69041009000000000, 1111000000000000
00001360, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
50657.00ns INFO [00006332] Mem Update: @00001360 FFFFFFFF->00000000
00001364, 7C4FCAA6, 0000
0000
00001368, 90410090, 0000
0000
0000136C, 00000000, 0000
0000
50657.00ns INFO [00006332] C0: CP 0:0012B4 00000000000012B4
50681.00ns INFO [00006335] T0 IFETCH 00001340 tag=09 len=6 WIMG:0
50745.00ns INFO [00006343] C0: CP 0:0012B8 00000000000012B8
50753.00ns INFO [00006344] C0: CP 0:0012BC 00000000000012BC
50785.00ns INFO [00006348] T0 STORE 00001368 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
00001360
00001360, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
00001360, FFFFFFFF, 0000
0000
00001364, 00000000, 0000
0000
00001368, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
50801.00ns INFO [00006350] Mem Update: @00001368 FFFFFFFF->FFFFFFFF
0000136C, FFFFFFFF, 0000
0000
50849.00ns INFO [00006356] T0 STORE 0000136C tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000000000000000000000000000000000000000000000 WIMG:0
00001360
00001360, FFFFFFFFFFFFFFFF0000000000000000, 0000000000001111
00001360, FFFFFFFF, 0000
0000
00001364, FFFFFFFF, 0000
0000
00001368, 00000000, 0000
0000
0000136C, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
50865.00ns INFO [00006358] Mem Update: @0000136C FFFFFFFF->00000000
50913.00ns INFO [00006364] T0 STORE 00001370 tag=00 len=4 be=F0000000 data=FFFFFFFFFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
00001370
00001370, FFFFFFFFFFFFFFFFFFFFFFFF00000000, 1111000000000000
00001370, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
50929.00ns INFO [00006366] Mem Update: @00001370 FFFFFFFF->FFFFFFFF
00001374, FFFFFFFF, 0000
0000
00001378, FFFFFFFF, 0000
0000
0000137C, 00000000, 0000
0000
50953.00ns INFO [00006369] C0: CP 0:0012C0 00000000000012C0
50961.00ns INFO [00006370] C0: CP 0:0012C4 00000000000012C4
50977.00ns INFO [00006372] T0 STORE 00001374 tag=00 len=4 be=0F000000 data=00000000FFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
00001370
00001370, 00000000FFFFFFFFFFFFFFFFFFFFFFFF, 0000111100000000
00001370, 00000000, 0000
0000
00001374, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
50993.00ns INFO [00006374] Mem Update: @00001374 FFFFFFFF->FFFFFFFF
00001378, FFFFFFFF, 0000
0000
0000137C, FFFFFFFF, 0000
0000
51041.00ns INFO [00006380] T0 STORE 00001378 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
00001370
00001370, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
00001370, FFFFFFFF, 0000
0000
00001374, 00000000, 0000
0000
00001378, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
51057.00ns INFO [00006382] Mem Update: @00001378 FFFFFFFF->FFFFFFFF
0000137C, FFFFFFFF, 0000
0000
51081.00ns INFO [00006385] C0: CP 0:0012C8 00000000000012C8
51089.00ns INFO [00006386] C0: CP 0:0012CC 00000000000012CC
51105.00ns INFO [00006388] T0 STORE 0000137C tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
00001370
00001370, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
00001370, FFFFFFFF, 0000
0000
00001374, FFFFFFFF, 0000
0000
00001378, 00000000, 0000
0000
0000137C, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
51121.00ns INFO [00006390] Mem Update: @0000137C FFFFFFFF->FFFFFFFF
51169.00ns INFO [00006396] T0 STORE 00001380 tag=00 len=4 be=F0000000 data=FFFFFFFFFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
00001380
00001380, FFFFFFFFFFFFFFFFFFFFFFFF00000000, 1111000000000000
00001380, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
51185.00ns INFO [00006398] Mem Update: @00001380 FFFFFFFF->FFFFFFFF
00001384, FFFFFFFF, 0000
0000
00001388, FFFFFFFF, 0000
0000
0000138C, 00000000, 0000
0000
51201.00ns INFO [00006400] ...tick...
51209.00ns INFO [00006401] C0: CP 0:0012D0 00000000000012D0
51217.00ns INFO [00006402] C0: CP 0:0012D4 00000000000012D4
51233.00ns INFO [00006404] T0 STORE 00001384 tag=00 len=4 be=0F000000 data=00000000008A0C68FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
00001380
00001380, 00000000008A0C68FFFFFFFFFFFFFFFF, 0000111100000000
00001380, 00000000, 0000
0000
00001384, 008A0C68, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
9047144
51249.00ns INFO [00006406] Mem Update: @00001384 FFFFFFFF->008A0C68
00001388, FFFFFFFF, 0000
0000
0000138C, FFFFFFFF, 0000
0000
51297.00ns INFO [00006412] T0 STORE 00001388 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
00001380
00001380, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
00001380, FFFFFFFF, 0000
0000
00001384, 00000000, 0000
0000
00001388, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
51313.00ns INFO [00006414] Mem Update: @00001388 FFFFFFFF->FFFFFFFF
0000138C, FFFFFFFF, 0000
0000
51337.00ns INFO [00006417] C0: CP 0:0012D8 00000000000012D8
51345.00ns INFO [00006418] C0: CP 0:0012DC 00000000000012DC
51361.00ns INFO [00006420] T0 STORE 0000138C tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000007FFFFFFF00000000000000000000000000000000 WIMG:0
00001380
00001380, FFFFFFFFFFFFFFFF000000007FFFFFFF, 0000000000001111
00001380, FFFFFFFF, 0000
0000
00001384, FFFFFFFF, 0000
0000
00001388, 00000000, 0000
0000
0000138C, 7FFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2147483647
51377.00ns INFO [00006422] Mem Update: @0000138C FFFFFFFF->7FFFFFFF
51425.00ns INFO [00006428] T0 STORE 00001390 tag=00 len=4 be=F0000000 data=FFFFFFFFFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
00001390
00001390, FFFFFFFFFFFFFFFFFFFFFFFF00000000, 1111000000000000
00001390, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
51441.00ns INFO [00006430] Mem Update: @00001390 FFFFFFFF->FFFFFFFF
00001394, FFFFFFFF, 0000
0000
00001398, FFFFFFFF, 0000
0000
0000139C, 00000000, 0000
0000
51465.00ns INFO [00006433] C0: CP 0:0012E0 00000000000012E0
51473.00ns INFO [00006434] C0: CP 0:0012E4 00000000000012E4
51489.00ns INFO [00006436] T0 STORE 00001394 tag=00 len=4 be=0F000000 data=00000000FFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
00001390
00001390, 00000000FFFFFFFFFFFFFFFFFFFFFFFF, 0000111100000000
00001390, 00000000, 0000
0000
00001394, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
51505.00ns INFO [00006438] Mem Update: @00001394 FFFFFFFF->FFFFFFFF
00001398, FFFFFFFF, 0000
0000
0000139C, FFFFFFFF, 0000
0000
51553.00ns INFO [00006444] T0 STORE 00001398 tag=00 len=4 be=00F00000 data=FFFFFFFF000000008C20BDE6FFFFFFFF00000000000000000000000000000000 WIMG:0
00001390
00001390, FFFFFFFF000000008C20BDE6FFFFFFFF, 0000000011110000
00001390, FFFFFFFF, 0000
0000
00001394, 00000000, 0000
0000
00001398, 8C20BDE6, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2350956006
51569.00ns INFO [00006446] Mem Update: @00001398 FFFFFFFF->8C20BDE6
0000139C, FFFFFFFF, 0000
0000
51593.00ns INFO [00006449] C0: CP 0:0012E8 00000000000012E8
51601.00ns INFO [00006450] C0: CP 0:0012EC 00000000000012EC
51617.00ns INFO [00006452] T0 STORE 0000139C tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
00001390
00001390, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
00001390, FFFFFFFF, 0000
0000
00001394, FFFFFFFF, 0000
0000
00001398, 00000000, 0000
0000
0000139C, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
51633.00ns INFO [00006454] Mem Update: @0000139C FFFFFFFF->FFFFFFFF
51681.00ns INFO [00006460] T0 STORE 000013A0 tag=00 len=4 be=F0000000 data=08AEBF68FFFFFFFFFFFFFFFF0000000100000000000000000000000000000000 WIMG:0
000013A0
000013A0, 08AEBF68FFFFFFFFFFFFFFFF00000001, 1111000000000000
000013A0, 08AEBF68, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
145669992
51697.00ns INFO [00006462] Mem Update: @000013A0 FFFFFFFF->08AEBF68
000013A4, FFFFFFFF, 0000
0000
000013A8, FFFFFFFF, 0000
0000
000013AC, 00000001, 0000
0000
51721.00ns INFO [00006465] C0: CP 0:0012F0 00000000000012F0
51729.00ns INFO [00006466] C0: CP 0:0012F4 00000000000012F4
51745.00ns INFO [00006468] T0 STORE 000013A4 tag=00 len=4 be=0F000000 data=FFFFFFFF80000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
000013A0
000013A0, FFFFFFFF80000001FFFFFFFFFFFFFFFF, 0000111100000000
000013A0, FFFFFFFF, 0000
0000
000013A4, 80000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2147483649
51761.00ns INFO [00006470] Mem Update: @000013A4 FFFFFFFF->80000001
000013A8, FFFFFFFF, 0000
0000
000013AC, FFFFFFFF, 0000
0000
51809.00ns INFO [00006476] T0 STORE 000013A8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
000013A0
000013A0, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
000013A0, FFFFFFFF, 0000
0000
000013A4, 00000000, 0000
0000
000013A8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
51825.00ns INFO [00006478] Mem Update: @000013A8 FFFFFFFF->FFFFFFFF
000013AC, FFFFFFFF, 0000
0000
51849.00ns INFO [00006481] C0: CP 0:0012F8 00000000000012F8
51857.00ns INFO [00006482] C0: CP 0:0012FC 00000000000012FC
51873.00ns INFO [00006484] T0 STORE 000013AC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF200000000000000000000000000000000000000000000000 WIMG:0
000013A0
000013A0, FFFFFFFFFFFFFFFF2000000000000000, 0000000000001111
000013A0, FFFFFFFF, 0000
0000
000013A4, FFFFFFFF, 0000
0000
000013A8, 20000000, 0000
0000
000013AC, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
51889.00ns INFO [00006486] Mem Update: @000013AC FFFFFFFF->00000000
51937.00ns INFO [00006492] T0 STORE 000013B0 tag=00 len=4 be=F0000000 data=FFFFFFFFFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
000013B0
000013B0, FFFFFFFFFFFFFFFFFFFFFFFF00000000, 1111000000000000
000013B0, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
51953.00ns INFO [00006494] Mem Update: @000013B0 FFFFFFFF->FFFFFFFF
000013B4, FFFFFFFF, 0000
0000
000013B8, FFFFFFFF, 0000
0000
000013BC, 00000000, 0000
0000
51977.00ns INFO [00006497] C0: CP 0:001300 0000000000001300
51985.00ns INFO [00006498] C0: CP 0:001304 0000000000001304
52001.00ns INFO [00006500] ...tick...
52001.00ns INFO [00006500] T0 STORE 000013B4 tag=00 len=4 be=0F000000 data=00000000FFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
000013B0
000013B0, 00000000FFFFFFFFFFFFFFFFFFFFFFFF, 0000111100000000
000013B0, 00000000, 0000
0000
000013B4, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
52017.00ns INFO [00006502] Mem Update: @000013B4 FFFFFFFF->FFFFFFFF
000013B8, FFFFFFFF, 0000
0000
000013BC, FFFFFFFF, 0000
0000
52017.00ns INFO [00006502] C0: CP 0:001308 0000000000001308
52025.00ns INFO [00006503] GPR Update: R02=FFFFFFFFCD75F313
52041.00ns INFO [00006505] C0: CP 0:00130C 1:001310 000000000000130C
52049.00ns INFO [00006506] C0: CP 0:000000 1:000000 0000000000001310
52057.00ns INFO [00006507] C0: CP 0:000000 1:000000 0000000000001310
52057.00ns INFO [00006507] GPR Update: R02=0000000090000000
52057.00ns INFO [00006507] GPR Update: R32=000000000B000000
52065.00ns INFO [00006508] T0 STORE 000013B8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000328A0CEDFFFFFFFF00000000000000000000000000000000 WIMG:0
52065.00ns INFO [00006508] C0: CP 0:000000 1:000000 0000000000001310
52065.00ns INFO [00006508] GPR Update: R02=000000009B000000
52065.00ns INFO [00006508] GPR Update: R32=0000000000F00000
52073.00ns INFO [00006509] GPR Update: R02=000000009BF00000
52073.00ns INFO [00006509] GPR Update: R32=00000000000D0000
000013B0
000013B0, FFFFFFFF00000000328A0CEDFFFFFFFF, 0000000011110000
000013B0, FFFFFFFF, 0000
0000
000013B4, 00000000, 0000
0000
000013B8, 328A0CED, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
847908077
52081.00ns INFO [00006510] Mem Update: @000013B8 FFFFFFFF->328A0CED
000013BC, FFFFFFFF, 0000
0000
52081.00ns INFO [00006510] C0: CP 0:000000 1:000000 0000000000001310
52089.00ns INFO [00006511] GPR Update: R02=000000009BFD0000
52089.00ns INFO [00006511] GPR Update: R32=0000000000003000
52097.00ns INFO [00006512] C0: CP 0:000000 1:000000 0000000000001310
52105.00ns INFO [00006513] GPR Update: R02=000000009BFD3000
52105.00ns INFO [00006513] GPR Update: R32=0000000000000600
52113.00ns INFO [00006514] C0: CP 0:000000 1:000000 0000000000001310
52121.00ns INFO [00006515] GPR Update: R02=000000009BFD3600
52121.00ns INFO [00006515] GPR Update: R32=0000000000000020
52129.00ns INFO [00006516] T0 STORE 000013BC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
52129.00ns INFO [00006516] C0: CP 0:000000 1:000000 0000000000001310
52137.00ns INFO [00006517] GPR Update: R02=000000009BFD3620
52137.00ns INFO [00006517] GPR Update: R32=0000000000000008
000013B0
000013B0, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
000013B0, FFFFFFFF, 0000
0000
000013B4, FFFFFFFF, 0000
0000
000013B8, 00000000, 0000
0000
000013BC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
52145.00ns INFO [00006518] Mem Update: @000013BC FFFFFFFF->FFFFFFFF
52153.00ns INFO [00006519] C0: CP 0:000000 0000000000001310
52161.00ns INFO [00006520] GPR Update: R02=000000009BFD3628
52177.00ns INFO [00006522] C0: CP 0:001314 1:001318 0000000000001314
52185.00ns INFO [00006523] C0: CP 0:00131C 1:001320 000000000000131C
52185.00ns INFO [00006523] GPR Update: R02=000000008000006E
52193.00ns INFO [00006524] T0 STORE 000013C0 tag=00 len=4 be=F0000000 data=FFFFFFFFFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
52193.00ns INFO [00006524] GPR Update: R02=00000000FFFFFFFF
000013C0
000013C0, FFFFFFFFFFFFFFFFFFFFFFFF00000000, 1111000000000000
000013C0, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
52209.00ns INFO [00006526] Mem Update: @000013C0 FFFFFFFF->FFFFFFFF
000013C4, FFFFFFFF, 0000
0000
000013C8, FFFFFFFF, 0000
0000
000013CC, 00000000, 0000
0000
52233.00ns INFO [00006529] T0 IFETCH 00000E40 tag=08 len=6 WIMG:0
52233.00ns INFO [00006529] C0: CP 0:001324 1:001328 0000000000001324
52241.00ns INFO [00006530] GPR Update: R02=00000000FFFFFFFF
52297.00ns INFO [00006537] C0: CP 0:00132C 1:001330 000000000000132C
52305.00ns INFO [00006538] GPR Update: R02=FFFFFFFFCD75F313
52337.00ns INFO [00006542] T0 STORE 000013C4 tag=00 len=4 be=0F000000 data=00000000AF224C193D2000003929140000000000000000000000000000000000 WIMG:0
000013C0
000013C0, 00000000AF224C193D20000039291400, 0000111100000000
000013C0, 00000000, 0000
0000
000013C4, AF224C19, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2938260505
52353.00ns INFO [00006544] Mem Update: @000013C4 FFFFFFFF->AF224C19
000013C8, 3D200000, 0000
0000
000013CC, 39291400, 0000
0000
52377.00ns INFO [00006547] T0 IFETCH 00000E80 tag=09 len=6 WIMG:0
52441.00ns INFO [00006555] C0: CP 0:001334 1:001338 0000000000001334
52449.00ns INFO [00006556] C0: CP 0:00133C 000000000000133C
52449.00ns INFO [00006556] GPR Update: R03=0000000000000000
52457.00ns INFO [00006557] GPR Update: R03=0000000000001060
52481.00ns INFO [00006560] T0 STORE 000013C8 tag=00 len=4 be=00F00000 data=2C09000000000000FFFFFFFF8121000C00000000000000000000000000000000 WIMG:0
52489.00ns INFO [00006561] C0: CP 0:001340 1:001344 0000000000001340
000013C0
000013C0, 2C09000000000000FFFFFFFF8121000C, 0000000011110000
000013C0, 2C090000, 0000
0000
000013C4, 00000000, 0000
0000
000013C8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
52497.00ns INFO [00006562] Mem Update: @000013C8 FFFFFFFF->FFFFFFFF
000013CC, 8121000C, 0000
0000
52497.00ns INFO [00006562] C0: CP 0:001348 0000000000001348
52497.00ns INFO [00006562] GPR Update: R01=000000000001FFB8
52497.00ns INFO [00006562] GPR Update: R03=0000000008670000
52505.00ns INFO [00006563] GPR Update: R03=0000000008675309
52521.00ns INFO [00006565] T0 IFETCH 00000ED0 tag=08 len=6 WIMG:0
52553.00ns INFO [00006569] C0: CP 0:00134C 000000000000134C
52561.00ns INFO [00006570] LR Update:0000000000001350
52585.00ns INFO [00006573] C0: CP 0:000E0C 0000000000000E0C
52593.00ns INFO [00006574] GPR Update: R01=000000000001FF98
52625.00ns INFO [00006578] T0 STORE 000013CC tag=00 len=4 be=000F0000 data=3D2000003929136000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
000013C0
000013C0, 3D2000003929136000000000FFFFFFFF, 0000000000001111
000013C0, 3D200000, 0000
0000
000013C4, 39291360, 0000
0000
000013C8, 00000000, 0000
0000
000013CC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
52641.00ns INFO [00006580] Mem Update: @000013CC FFFFFFFF->FFFFFFFF
52665.00ns INFO [00006583] T0 IFETCH 00000F00 tag=09 len=6 WIMG:0
52705.00ns INFO [00006588] C0: CP 0:000E10 0000000000000E10
52713.00ns INFO [00006589] GPR Update: R00=0000000000001350
52729.00ns INFO [00006591] C0: CP 0:000E14 0000000000000E14
52769.00ns INFO [00006596] T0 STORE 000013D0 tag=00 len=4 be=F0000000 data=D624B27A81410010812100140000000000000000000000000000000000000000 WIMG:0
000013D0
000013D0, D624B27A814100108121001400000000, 1111000000000000
000013D0, D624B27A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3592729210
52785.00ns INFO [00006598] Mem Update: @000013D0 FFFFFFFF->D624B27A
000013D4, 81410010, 0000
0000
000013D8, 81210014, 0000
0000
000013DC, 00000000, 0000
0000
52801.00ns INFO [00006600] ...tick...
52833.00ns INFO [00006604] T0 STORE 000013D4 tag=00 len=4 be=0F000000 data=00000000FFFFFFFF814100108121001400000000000000000000000000000000 WIMG:0
000013D0
000013D0, 00000000FFFFFFFF8141001081210014, 0000111100000000
000013D0, 00000000, 0000
0000
000013D4, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
52849.00ns INFO [00006606] Mem Update: @000013D4 FFFFFFFF->FFFFFFFF
000013D8, 81410010, 0000
0000
000013DC, 81210014, 0000
0000
52873.00ns INFO [00006609] C0: CP 0:000E18 1:000E1C 0000000000000E18
52881.00ns INFO [00006610] GPR Update: R09=0000000000000001
52897.00ns INFO [00006612] T0 STORE 000013D8 tag=00 len=4 be=00F00000 data=8121001400000000FFFFFFFF8141001000000000000000000000000000000000 WIMG:0
000013D0
000013D0, 8121001400000000FFFFFFFF81410010, 0000000011110000
000013D0, 81210014, 0000
0000
000013D4, 00000000, 0000
0000
000013D8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
52913.00ns INFO [00006614] Mem Update: @000013D8 FFFFFFFF->FFFFFFFF
000013DC, 81410010, 0000
0000
52937.00ns INFO [00006617] C0: CP 0:000E20 0000000000000E20
52977.00ns INFO [00006622] T0 STORE 000013DC tag=00 len=4 be=000F0000 data=814100108121001400000000FFFFFFFF00000000000000000000000000000000 WIMG:0
000013D0
000013D0, 814100108121001400000000FFFFFFFF, 0000000000001111
000013D0, 81410010, 0000
0000
000013D4, 81210014, 0000
0000
000013D8, 00000000, 0000
0000
000013DC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
52993.00ns INFO [00006624] Mem Update: @000013DC FFFFFFFF->FFFFFFFF
53041.00ns INFO [00006630] T0 STORE 00001364 tag=00 len=4 be=0F000000 data=FFFFFFFFCD75F313814100108121001400000000000000000000000000000000 WIMG:0
00001360
00001360, FFFFFFFFCD75F3138141001081210014, 0000111100000000
00001360, FFFFFFFF, 0000
0000
00001364, CD75F313, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3447059219
53057.00ns INFO [00006632] Mem Update: @00001364 FFFFFFFF->CD75F313
00001368, 81410010, 0000
0000
0000136C, 81210014, 0000
0000
53105.00ns INFO [00006638] T0 STORE 000013E0 tag=00 len=4 be=F0000000 data=9BFD362881410010812100140000000000000000000000000000000000000000 WIMG:0
000013E0
000013E0, 9BFD3628814100108121001400000000, 1111000000000000
000013E0, 9BFD3628, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2617062952
53121.00ns INFO [00006640] Mem Update: @000013E0 FFFFFFFF->9BFD3628
000013E4, 81410010, 0000
0000
000013E8, 81210014, 0000
0000
000013EC, 00000000, 0000
0000
53169.00ns INFO [00006646] T0 STORE 000013E4 tag=00 len=4 be=0F000000 data=000000008000006E814100108121001400000000000000000000000000000000 WIMG:0
000013E0
000013E0, 000000008000006E8141001081210014, 0000111100000000
000013E0, 00000000, 0000
0000
000013E4, 8000006E, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2147483758
53185.00ns INFO [00006648] Mem Update: @000013E4 FFFFFFFF->8000006E
000013E8, 81410010, 0000
0000
000013EC, 81210014, 0000
0000
53233.00ns INFO [00006654] T0 STORE 000013E8 tag=00 len=4 be=00F00000 data=8121001400000000FFFFFFFF8141001000000000000000000000000000000000 WIMG:0
000013E0
000013E0, 8121001400000000FFFFFFFF81410010, 0000000011110000
000013E0, 81210014, 0000
0000
000013E4, 00000000, 0000
0000
000013E8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
53249.00ns INFO [00006656] Mem Update: @000013E8 FFFFFFFF->FFFFFFFF
000013EC, 81410010, 0000
0000
53297.00ns INFO [00006662] T0 STORE 000013EC tag=00 len=4 be=000F0000 data=814100108121001400000000FFFFFFFF00000000000000000000000000000000 WIMG:0
000013E0
000013E0, 814100108121001400000000FFFFFFFF, 0000000000001111
000013E0, 81410010, 0000
0000
000013E4, 81210014, 0000
0000
000013E8, 00000000, 0000
0000
000013EC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
53313.00ns INFO [00006664] Mem Update: @000013EC FFFFFFFF->FFFFFFFF
53361.00ns INFO [00006670] T0 STORE 000013F0 tag=00 len=4 be=F0000000 data=CD75F3138141001081210014FFFFFFFF00000000000000000000000000000000 WIMG:0
000013F0
000013F0, CD75F3138141001081210014FFFFFFFF, 1111000000000000
000013F0, CD75F313, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3447059219
53377.00ns INFO [00006672] Mem Update: @000013F0 FFFFFFFF->CD75F313
000013F4, 81410010, 0000
0000
000013F8, 81210014, 0000
0000
000013FC, FFFFFFFF, 0000
0000
53425.00ns INFO [00006678] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=81210014000000000001FFB88141001000000000000000000000000000000000 WIMG:0
0001FF90
0001FF90, 81210014000000000001FFB881410010, 0000000011110000
0001FF90, 81210014, 0000
0000
0001FF94, 00000000, 0000
0000
0001FF98, 0001FFB8, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
131000
53441.00ns INFO [00006680] Mem Update: @0001FF98 0001FFA8->0001FFB8
0001FF9C, 81410010, 0000
0000
53441.00ns INFO [00006680] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
53489.00ns INFO [00006686] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=8141001081210014000000000000135000000000000000000000000000000000 WIMG:0
0001FFB0
0001FFB0, 81410010812100140000000000001350, 0000000000001111
0001FFB0, 81410010, 0000
0000
0001FFB4, 81210014, 0000
0000
0001FFB8, 00000000, 0000
0000
0001FFBC, 00001350, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4944
53505.00ns INFO [00006688] Mem Update: @0001FFBC 00000D3C->00001350
53505.00ns INFO [00006688] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00001350 ...............................P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
53553.00ns INFO [00006694] T0 STORE 0001FFB0 tag=00 len=4 be=F0000000 data=0867530981410010812100140000000000000000000000000000000000000000 WIMG:0
0001FFB0
0001FFB0, 08675309814100108121001400000000, 1111000000000000
0001FFB0, 08675309, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
140989193
53569.00ns INFO [00006696] Mem Update: @0001FFB0 0000000A->08675309
0001FFB4, 81410010, 0000
0000
0001FFB8, 81210014, 0000
0000
0001FFBC, 00000000, 0000
0000
53569.00ns INFO [00006696] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
53601.00ns INFO [00006700] ...tick...
53617.00ns INFO [00006702] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001814100108121001400000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000000000000018141001081210014, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
53633.00ns INFO [00006704] Mem Update: @0001FFA4 XXXXXXXX->00000001
0001FFA8, 81410010, 0000
0000
0001FFAC, 81210014, 0000
0000
53633.00ns INFO [00006704] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0A000000 00000001 0001FFB8 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
53649.00ns INFO [00006706] C0: CP 0:000E24 1:000E28 0000000000000E24
53657.00ns INFO [00006707] C0: CP 0:000E2C 1:000E30 0000000000000E2C
53657.00ns INFO [00006707] GPR Update: R09=0000000008670000
53657.00ns INFO [00006707] GPR Update: R10=0000000008675309
53665.00ns INFO [00006708] C0: CP 0:000E34 1:000E40 0000000000000E34
53665.00ns INFO [00006708] GPR Update: R09=0000000008675309
53665.00ns INFO [00006708] CR Update: F0=3
53673.00ns INFO [00006709] C0: CP 0:000E44 1:000E48 0000000000000E44
53673.00ns INFO [00006709] GPR Update: R09=0000000000000000
53713.00ns INFO [00006714] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000081410010812100140000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000000814100108121001400000000, 1111000000000000
0001FFA0, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
53729.00ns INFO [00006716] Mem Update: @0001FFA0 0A000000->00000000
0001FFA4, 81410010, 0000
0000
0001FFA8, 81210014, 0000
0000
0001FFAC, 00000000, 0000
0000
53729.00ns INFO [00006716] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000000 00000001 0001FFB8 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
53809.00ns INFO [00006726] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
53817.00ns INFO [00006727] GPR Update: R09=0000000000000000
53817.00ns INFO [00006727] CR Update: F0=9
53825.00ns INFO [00006728] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
53833.00ns INFO [00006729] C0: CP 0:000E50 1:000E54 0000000000000E50
53833.00ns INFO [00006729] GPR Update: R09=0000000000000000
53841.00ns INFO [00006730] C0: CP 0:000E58 1:000E5C 0000000000000E58
53841.00ns INFO [00006730] GPR Update: R09=0000000000000000
53841.00ns INFO [00006730] GPR Update: R10=0000000000000000
53849.00ns INFO [00006731] GPR Update: R09=0000000000001360
53865.00ns INFO [00006733] T0 LOAD 00001360 tag=00 len=4 WIMG:0
53977.00ns INFO [00006747] C0: CP 0:000E60 0000000000000E60
53985.00ns INFO [00006748] GPR Update: R09=0000000000000000
54009.00ns INFO [00006751] C0: CP 0:000E64 1:000E68 0000000000000E64
54017.00ns INFO [00006752] C0: CP 0:000E6C 1:000E70 0000000000000E6C
54017.00ns INFO [00006752] GPR Update: R09=0000000000000000
54025.00ns INFO [00006753] C0: CP 0:000E74 1:000E78 0000000000000E74
54025.00ns INFO [00006753] GPR Update: R09=0000000000000000
54025.00ns INFO [00006753] GPR Update: R10=0000000000000000
54033.00ns INFO [00006754] T0 LOAD 00001400 tag=01 len=4 WIMG:0
54033.00ns INFO [00006754] GPR Update: R09=0000000000001400
54129.00ns INFO [00006766] C0: CP 0:000E7C 0000000000000E7C
54137.00ns INFO [00006767] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
54137.00ns INFO [00006767] GPR Update: R09=0000000000000000
0001FFA0
0001FFA0, FFFFFFFF0000000000000000FFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
54153.00ns INFO [00006769] Mem Update: @0001FFA8 0001FFB8->00000000
0001FFAC, FFFFFFFF, 0000
0000
54153.00ns INFO [00006769] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000000 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
54161.00ns INFO [00006770] C0: CP 0:000E80 1:000E84 0000000000000E80
54169.00ns INFO [00006771] C0: CP 0:000E88 1:000E8C 0000000000000E88
54169.00ns INFO [00006771] GPR Update: R09=0000000000000001
54177.00ns INFO [00006772] CR Update: F0=5
54201.00ns INFO [00006775] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF0000000000000000, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
54217.00ns INFO [00006777] Mem Update: @0001FFAC XXXXXXXX->00000000
54313.00ns INFO [00006789] C0: CP 0:000E90 0000000000000E90
54321.00ns INFO [00006790] C0: CP 0:000E94 0000000000000E94
54321.00ns INFO [00006790] GPR Update: R10=0000000000000000
54329.00ns INFO [00006791] C0: CP 0:000E98 0000000000000E98
54329.00ns INFO [00006791] GPR Update: R09=0000000000000000
54337.00ns INFO [00006792] C0: CP 0:000E9C 0000000000000E9C
54337.00ns INFO [00006792] CR Update: F0=3
54401.00ns INFO [00006800] ...tick...
54457.00ns INFO [00006807] C0: CP 0:000EA0 1:000EA4 0000000000000EA0
54465.00ns INFO [00006808] GPR Update: R09=0000000000000001
54521.00ns INFO [00006815] C0: CP 0:000EAC 0000000000000EAC
54569.00ns INFO [00006821] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
54585.00ns INFO [00006823] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
54665.00ns INFO [00006833] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
54673.00ns INFO [00006834] GPR Update: R09=0000000000000001
54673.00ns INFO [00006834] CR Update: F0=5
54689.00ns INFO [00006836] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
54697.00ns INFO [00006837] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
54697.00ns INFO [00006837] GPR Update: R09=0000000000000000
54705.00ns INFO [00006838] GPR Update: R09=0000000000000001
54745.00ns INFO [00006843] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000001FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000001FFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
54761.00ns INFO [00006845] Mem Update: @0001FFA0 00000000->00000001
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
54761.00ns INFO [00006845] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000001 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
54841.00ns INFO [00006855] C0: CP 0:000ED4 0000000000000ED4
54849.00ns INFO [00006856] C0: CP 0:000ED8 0000000000000ED8
54849.00ns INFO [00006856] GPR Update: R09=0000000000000001
54857.00ns INFO [00006857] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
54857.00ns INFO [00006857] CR Update: F0=9
54865.00ns INFO [00006858] C0: CP 0:000E50 1:000E54 0000000000000E50
54865.00ns INFO [00006858] GPR Update: R09=0000000000000001
54873.00ns INFO [00006859] C0: CP 0:000E58 1:000E5C 0000000000000E58
54873.00ns INFO [00006859] GPR Update: R09=0000000000000000
54873.00ns INFO [00006859] GPR Update: R10=0000000000000004
54881.00ns INFO [00006860] GPR Update: R09=0000000000001364
54929.00ns INFO [00006866] C0: CP 0:000E60 0000000000000E60
54937.00ns INFO [00006867] GPR Update: R09=00000000CD75F313
54945.00ns INFO [00006868] C0: CP 0:000E64 1:000E68 0000000000000E64
54953.00ns INFO [00006869] C0: CP 0:000E6C 1:000E70 0000000000000E6C
54953.00ns INFO [00006869] GPR Update: R09=0000000000000001
54961.00ns INFO [00006870] C0: CP 0:000E74 1:000E78 0000000000000E74
54961.00ns INFO [00006870] GPR Update: R09=0000000000000000
54961.00ns INFO [00006870] GPR Update: R10=0000000000000004
54969.00ns INFO [00006871] C0: CP 0:000E7C 1:000E80 0000000000000E7C
54969.00ns INFO [00006871] GPR Update: R09=0000000000001404
54977.00ns INFO [00006872] GPR Update: R09=00000000CD75F313
54985.00ns INFO [00006873] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000CD75F313FFFFFFFF00000000000000000000000000000000 WIMG:0
54985.00ns INFO [00006873] C0: CP 0:000E84 0000000000000E84
54993.00ns INFO [00006874] C0: CP 0:000E88 0000000000000E88
54993.00ns INFO [00006874] GPR Update: R09=0000000000000001
0001FFA0
0001FFA0, FFFFFFFF00000000CD75F313FFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, CD75F313, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3447059219
55001.00ns INFO [00006875] Mem Update: @0001FFA8 00000000->CD75F313
0001FFAC, FFFFFFFF, 0000
0000
55001.00ns INFO [00006875] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000001 00000001 CD75F313 00000000 08675309 00000000 0001FFF8 00001350 .........u.......gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
55001.00ns INFO [00006875] C0: CP 0:000E8C 0000000000000E8C
55001.00ns INFO [00006875] CR Update: F0=5
55049.00ns INFO [00006881] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000CD75F31300000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF00000000CD75F313, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, CD75F313, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3447059219
55065.00ns INFO [00006883] Mem Update: @0001FFAC 00000000->CD75F313
55065.00ns INFO [00006883] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000001 00000001 CD75F313 CD75F313 08675309 00000000 0001FFF8 00001350 .........u...u...gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
55153.00ns INFO [00006894] C0: CP 0:000E90 0000000000000E90
55161.00ns INFO [00006895] C0: CP 0:000E94 0000000000000E94
55161.00ns INFO [00006895] GPR Update: R10=00000000CD75F313
55169.00ns INFO [00006896] C0: CP 0:000E98 0000000000000E98
55169.00ns INFO [00006896] GPR Update: R09=00000000CD75F313
55177.00ns INFO [00006897] C0: CP 0:000E9C 0000000000000E9C
55177.00ns INFO [00006897] CR Update: F0=3
55201.00ns INFO [00006900] ...tick...
55297.00ns INFO [00006912] C0: CP 0:000EA0 1:000EA4 0000000000000EA0
55305.00ns INFO [00006913] GPR Update: R09=0000000000000001
55345.00ns INFO [00006918] C0: CP 0:000EAC 0000000000000EAC
55385.00ns INFO [00006923] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
55401.00ns INFO [00006925] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
55481.00ns INFO [00006935] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
55489.00ns INFO [00006936] GPR Update: R09=0000000000000001
55489.00ns INFO [00006936] CR Update: F0=5
55505.00ns INFO [00006938] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
55513.00ns INFO [00006939] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
55513.00ns INFO [00006939] GPR Update: R09=0000000000000001
55521.00ns INFO [00006940] GPR Update: R09=0000000000000002
55561.00ns INFO [00006945] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000002FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000002FFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000002, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2
55577.00ns INFO [00006947] Mem Update: @0001FFA0 00000001->00000002
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
55577.00ns INFO [00006947] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000002 00000001 CD75F313 CD75F313 08675309 00000000 0001FFF8 00001350 .........u...u...gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
55657.00ns INFO [00006957] C0: CP 0:000ED4 0000000000000ED4
55665.00ns INFO [00006958] C0: CP 0:000ED8 0000000000000ED8
55665.00ns INFO [00006958] GPR Update: R09=0000000000000002
55673.00ns INFO [00006959] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
55673.00ns INFO [00006959] CR Update: F0=9
55681.00ns INFO [00006960] C0: CP 0:000E50 1:000E54 0000000000000E50
55681.00ns INFO [00006960] GPR Update: R09=0000000000000002
55689.00ns INFO [00006961] C0: CP 0:000E58 1:000E5C 0000000000000E58
55689.00ns INFO [00006961] GPR Update: R09=0000000000000000
55689.00ns INFO [00006961] GPR Update: R10=0000000000000008
55697.00ns INFO [00006962] GPR Update: R09=0000000000001368
55745.00ns INFO [00006968] C0: CP 0:000E60 0000000000000E60
55753.00ns INFO [00006969] GPR Update: R09=00000000FFFFFFFF
55761.00ns INFO [00006970] C0: CP 0:000E64 1:000E68 0000000000000E64
55769.00ns INFO [00006971] C0: CP 0:000E6C 1:000E70 0000000000000E6C
55769.00ns INFO [00006971] GPR Update: R09=0000000000000002
55777.00ns INFO [00006972] C0: CP 0:000E74 1:000E78 0000000000000E74
55777.00ns INFO [00006972] GPR Update: R09=0000000000000000
55777.00ns INFO [00006972] GPR Update: R10=0000000000000008
55785.00ns INFO [00006973] C0: CP 0:000E7C 1:000E80 0000000000000E7C
55785.00ns INFO [00006973] GPR Update: R09=0000000000001408
55793.00ns INFO [00006974] GPR Update: R09=00000000FFFFFFFF
55801.00ns INFO [00006975] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
55801.00ns INFO [00006975] C0: CP 0:000E84 0000000000000E84
55809.00ns INFO [00006976] C0: CP 0:000E88 0000000000000E88
55809.00ns INFO [00006976] GPR Update: R09=0000000000000001
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
55817.00ns INFO [00006977] Mem Update: @0001FFA8 CD75F313->FFFFFFFF
0001FFAC, FFFFFFFF, 0000
0000
55817.00ns INFO [00006977] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000002 00000001 FFFFFFFF CD75F313 08675309 00000000 0001FFF8 00001350 .............u...gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
55817.00ns INFO [00006977] C0: CP 0:000E8C 0000000000000E8C
55817.00ns INFO [00006977] CR Update: F0=5
55865.00ns INFO [00006983] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
55881.00ns INFO [00006985] Mem Update: @0001FFAC CD75F313->FFFFFFFF
55881.00ns INFO [00006985] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000002 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
55969.00ns INFO [00006996] C0: CP 0:000E90 0000000000000E90
55977.00ns INFO [00006997] C0: CP 0:000E94 0000000000000E94
55977.00ns INFO [00006997] GPR Update: R10=00000000FFFFFFFF
55985.00ns INFO [00006998] C0: CP 0:000E98 0000000000000E98
55985.00ns INFO [00006998] GPR Update: R09=00000000FFFFFFFF
55993.00ns INFO [00006999] C0: CP 0:000E9C 0000000000000E9C
55993.00ns INFO [00006999] CR Update: F0=3
56001.00ns INFO [00007000] ...tick...
56113.00ns INFO [00007014] C0: CP 0:000EA0 1:000EA4 0000000000000EA0
56121.00ns INFO [00007015] GPR Update: R09=0000000000000001
56161.00ns INFO [00007020] C0: CP 0:000EAC 0000000000000EAC
56201.00ns INFO [00007025] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
56217.00ns INFO [00007027] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
56297.00ns INFO [00007037] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
56305.00ns INFO [00007038] GPR Update: R09=0000000000000001
56305.00ns INFO [00007038] CR Update: F0=5
56321.00ns INFO [00007040] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
56329.00ns INFO [00007041] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
56329.00ns INFO [00007041] GPR Update: R09=0000000000000002
56337.00ns INFO [00007042] GPR Update: R09=0000000000000003
56377.00ns INFO [00007047] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000003FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000003FFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000003, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3
56393.00ns INFO [00007049] Mem Update: @0001FFA0 00000002->00000003
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
56393.00ns INFO [00007049] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000003 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
56473.00ns INFO [00007059] C0: CP 0:000ED4 0000000000000ED4
56481.00ns INFO [00007060] C0: CP 0:000ED8 0000000000000ED8
56481.00ns INFO [00007060] GPR Update: R09=0000000000000003
56489.00ns INFO [00007061] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
56489.00ns INFO [00007061] CR Update: F0=9
56497.00ns INFO [00007062] C0: CP 0:000E50 1:000E54 0000000000000E50
56497.00ns INFO [00007062] GPR Update: R09=0000000000000003
56505.00ns INFO [00007063] C0: CP 0:000E58 1:000E5C 0000000000000E58
56505.00ns INFO [00007063] GPR Update: R09=0000000000000000
56505.00ns INFO [00007063] GPR Update: R10=000000000000000C
56513.00ns INFO [00007064] GPR Update: R09=000000000000136C
56561.00ns INFO [00007070] C0: CP 0:000E60 0000000000000E60
56569.00ns INFO [00007071] GPR Update: R09=0000000000000000
56577.00ns INFO [00007072] C0: CP 0:000E64 1:000E68 0000000000000E64
56585.00ns INFO [00007073] C0: CP 0:000E6C 1:000E70 0000000000000E6C
56585.00ns INFO [00007073] GPR Update: R09=0000000000000003
56593.00ns INFO [00007074] C0: CP 0:000E74 1:000E78 0000000000000E74
56593.00ns INFO [00007074] GPR Update: R09=0000000000000000
56593.00ns INFO [00007074] GPR Update: R10=000000000000000C
56601.00ns INFO [00007075] C0: CP 0:000E7C 1:000E80 0000000000000E7C
56601.00ns INFO [00007075] GPR Update: R09=000000000000140C
56609.00ns INFO [00007076] GPR Update: R09=0000000000000000
56617.00ns INFO [00007077] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
56617.00ns INFO [00007077] C0: CP 0:000E84 0000000000000E84
56625.00ns INFO [00007078] C0: CP 0:000E88 0000000000000E88
56625.00ns INFO [00007078] GPR Update: R09=0000000000000001
0001FFA0
0001FFA0, FFFFFFFF0000000000000000FFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
56633.00ns INFO [00007079] Mem Update: @0001FFA8 FFFFFFFF->00000000
0001FFAC, FFFFFFFF, 0000
0000
56633.00ns INFO [00007079] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000003 00000001 00000000 FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
56633.00ns INFO [00007079] C0: CP 0:000E8C 0000000000000E8C
56633.00ns INFO [00007079] CR Update: F0=5
56681.00ns INFO [00007085] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF0000000000000000, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
56697.00ns INFO [00007087] Mem Update: @0001FFAC FFFFFFFF->00000000
56697.00ns INFO [00007087] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000003 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
56713.00ns INFO [00007089] C0: CP 0:000E90 0000000000000E90
56721.00ns INFO [00007090] GPR Update: R10=0000000000000000
56785.00ns INFO [00007098] C0: CP 0:000E94 0000000000000E94
56793.00ns INFO [00007099] C0: CP 0:000E98 0000000000000E98
56793.00ns INFO [00007099] GPR Update: R09=0000000000000000
56801.00ns INFO [00007100] ...tick...
56801.00ns INFO [00007100] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
56801.00ns INFO [00007100] CR Update: F0=3
56809.00ns INFO [00007101] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
56809.00ns INFO [00007101] GPR Update: R09=0000000000000001
56857.00ns INFO [00007107] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
56873.00ns INFO [00007109] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
56953.00ns INFO [00007119] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
56961.00ns INFO [00007120] GPR Update: R09=0000000000000001
56961.00ns INFO [00007120] CR Update: F0=5
56977.00ns INFO [00007122] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
56985.00ns INFO [00007123] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
56985.00ns INFO [00007123] GPR Update: R09=0000000000000003
56993.00ns INFO [00007124] GPR Update: R09=0000000000000004
57033.00ns INFO [00007129] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000004FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000004FFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000004, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4
57049.00ns INFO [00007131] Mem Update: @0001FFA0 00000003->00000004
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
57049.00ns INFO [00007131] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000004 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
57129.00ns INFO [00007141] C0: CP 0:000ED4 0000000000000ED4
57137.00ns INFO [00007142] C0: CP 0:000ED8 0000000000000ED8
57137.00ns INFO [00007142] GPR Update: R09=0000000000000004
57145.00ns INFO [00007143] CR Update: F0=9
57153.00ns INFO [00007144] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
57161.00ns INFO [00007145] C0: CP 0:000E50 1:000E54 0000000000000E50
57161.00ns INFO [00007145] GPR Update: R09=0000000000000004
57169.00ns INFO [00007146] C0: CP 0:000E58 1:000E5C 0000000000000E58
57169.00ns INFO [00007146] GPR Update: R09=0000000000000000
57169.00ns INFO [00007146] GPR Update: R10=0000000000000010
57177.00ns INFO [00007147] GPR Update: R09=0000000000001370
57209.00ns INFO [00007151] C0: CP 0:000E60 0000000000000E60
57217.00ns INFO [00007152] GPR Update: R09=00000000FFFFFFFF
57225.00ns INFO [00007153] C0: CP 0:000E64 1:000E68 0000000000000E64
57233.00ns INFO [00007154] C0: CP 0:000E6C 1:000E70 0000000000000E6C
57233.00ns INFO [00007154] GPR Update: R09=0000000000000004
57241.00ns INFO [00007155] C0: CP 0:000E74 1:000E78 0000000000000E74
57241.00ns INFO [00007155] GPR Update: R09=0000000000000000
57241.00ns INFO [00007155] GPR Update: R10=0000000000000010
57249.00ns INFO [00007156] GPR Update: R09=0000000000001410
57257.00ns INFO [00007157] C0: CP 0:000E7C 0000000000000E7C
57265.00ns INFO [00007158] GPR Update: R09=00000000FFFFFFFF
57273.00ns INFO [00007159] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
57273.00ns INFO [00007159] C0: CP 0:000E80 0000000000000E80
57281.00ns INFO [00007160] C0: CP 0:000E84 0000000000000E84
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
57289.00ns INFO [00007161] Mem Update: @0001FFA8 00000000->FFFFFFFF
0001FFAC, FFFFFFFF, 0000
0000
57289.00ns INFO [00007161] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000004 00000001 FFFFFFFF 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
57289.00ns INFO [00007161] C0: CP 0:000E88 0000000000000E88
57289.00ns INFO [00007161] GPR Update: R09=0000000000000001
57297.00ns INFO [00007162] C0: CP 0:000E8C 0000000000000E8C
57297.00ns INFO [00007162] CR Update: F0=5
57337.00ns INFO [00007167] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
57353.00ns INFO [00007169] Mem Update: @0001FFAC 00000000->FFFFFFFF
57353.00ns INFO [00007169] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000004 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
57369.00ns INFO [00007171] C0: CP 0:000E90 0000000000000E90
57377.00ns INFO [00007172] GPR Update: R10=00000000FFFFFFFF
57433.00ns INFO [00007179] C0: CP 0:000E94 0000000000000E94
57441.00ns INFO [00007180] C0: CP 0:000E98 0000000000000E98
57441.00ns INFO [00007180] GPR Update: R09=00000000FFFFFFFF
57449.00ns INFO [00007181] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
57449.00ns INFO [00007181] CR Update: F0=3
57457.00ns INFO [00007182] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
57457.00ns INFO [00007182] GPR Update: R09=0000000000000001
57497.00ns INFO [00007187] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
57513.00ns INFO [00007189] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
57593.00ns INFO [00007199] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
57601.00ns INFO [00007200] ...tick...
57601.00ns INFO [00007200] GPR Update: R09=0000000000000001
57601.00ns INFO [00007200] CR Update: F0=5
57609.00ns INFO [00007201] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
57617.00ns INFO [00007202] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
57617.00ns INFO [00007202] GPR Update: R09=0000000000000004
57625.00ns INFO [00007203] GPR Update: R09=0000000000000005
57657.00ns INFO [00007207] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000005FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000005FFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000005, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
5
57673.00ns INFO [00007209] Mem Update: @0001FFA0 00000004->00000005
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
57673.00ns INFO [00007209] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000005 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
57753.00ns INFO [00007219] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
57761.00ns INFO [00007220] GPR Update: R09=0000000000000005
57761.00ns INFO [00007220] CR Update: F0=9
57769.00ns INFO [00007221] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
57777.00ns INFO [00007222] C0: CP 0:000E50 1:000E54 0000000000000E50
57777.00ns INFO [00007222] GPR Update: R09=0000000000000005
57785.00ns INFO [00007223] C0: CP 0:000E58 1:000E5C 0000000000000E58
57785.00ns INFO [00007223] GPR Update: R09=0000000000000000
57785.00ns INFO [00007223] GPR Update: R10=0000000000000014
57793.00ns INFO [00007224] GPR Update: R09=0000000000001374
57833.00ns INFO [00007229] C0: CP 0:000E60 0000000000000E60
57841.00ns INFO [00007230] GPR Update: R09=00000000FFFFFFFF
57849.00ns INFO [00007231] C0: CP 0:000E64 1:000E68 0000000000000E64
57857.00ns INFO [00007232] C0: CP 0:000E6C 1:000E70 0000000000000E6C
57857.00ns INFO [00007232] GPR Update: R09=0000000000000005
57865.00ns INFO [00007233] C0: CP 0:000E74 1:000E78 0000000000000E74
57865.00ns INFO [00007233] GPR Update: R09=0000000000000000
57865.00ns INFO [00007233] GPR Update: R10=0000000000000014
57873.00ns INFO [00007234] C0: CP 0:000E7C 0000000000000E7C
57873.00ns INFO [00007234] GPR Update: R09=0000000000001414
57881.00ns INFO [00007235] C0: CP 0:000E80 0000000000000E80
57881.00ns INFO [00007235] GPR Update: R09=00000000FFFFFFFF
57889.00ns INFO [00007236] C0: CP 0:000E84 0000000000000E84
57897.00ns INFO [00007237] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
57897.00ns INFO [00007237] C0: CP 0:000E88 0000000000000E88
57897.00ns INFO [00007237] GPR Update: R09=0000000000000001
57905.00ns INFO [00007238] C0: CP 0:000E8C 0000000000000E8C
57905.00ns INFO [00007238] CR Update: F0=5
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
57913.00ns INFO [00007239] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
0001FFAC, FFFFFFFF, 0000
0000
57977.00ns INFO [00007247] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
57993.00ns INFO [00007249] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
57993.00ns INFO [00007249] C0: CP 0:000E90 0000000000000E90
58001.00ns INFO [00007250] GPR Update: R10=00000000FFFFFFFF
58073.00ns INFO [00007259] C0: CP 0:000E94 1:000E98 0000000000000E94
58081.00ns INFO [00007260] GPR Update: R09=00000000FFFFFFFF
58081.00ns INFO [00007260] CR Update: F0=3
58089.00ns INFO [00007261] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
58097.00ns INFO [00007262] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
58097.00ns INFO [00007262] GPR Update: R09=0000000000000001
58137.00ns INFO [00007267] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
58153.00ns INFO [00007269] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
58233.00ns INFO [00007279] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
58241.00ns INFO [00007280] GPR Update: R09=0000000000000001
58241.00ns INFO [00007280] CR Update: F0=5
58249.00ns INFO [00007281] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
58257.00ns INFO [00007282] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
58257.00ns INFO [00007282] GPR Update: R09=0000000000000005
58265.00ns INFO [00007283] GPR Update: R09=0000000000000006
58313.00ns INFO [00007289] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000006FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000006FFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000006, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
6
58329.00ns INFO [00007291] Mem Update: @0001FFA0 00000005->00000006
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
58329.00ns INFO [00007291] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000006 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
58401.00ns INFO [00007300] ...tick...
58409.00ns INFO [00007301] C0: CP 0:000ED4 0000000000000ED4
58417.00ns INFO [00007302] C0: CP 0:000ED8 0000000000000ED8
58417.00ns INFO [00007302] GPR Update: R09=0000000000000006
58425.00ns INFO [00007303] CR Update: F0=9
58433.00ns INFO [00007304] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
58441.00ns INFO [00007305] C0: CP 0:000E50 1:000E54 0000000000000E50
58441.00ns INFO [00007305] GPR Update: R09=0000000000000006
58449.00ns INFO [00007306] C0: CP 0:000E58 1:000E5C 0000000000000E58
58449.00ns INFO [00007306] GPR Update: R09=0000000000000000
58449.00ns INFO [00007306] GPR Update: R10=0000000000000018
58457.00ns INFO [00007307] GPR Update: R09=0000000000001378
58489.00ns INFO [00007311] C0: CP 0:000E60 0000000000000E60
58497.00ns INFO [00007312] GPR Update: R09=00000000FFFFFFFF
58505.00ns INFO [00007313] C0: CP 0:000E64 1:000E68 0000000000000E64
58513.00ns INFO [00007314] C0: CP 0:000E6C 1:000E70 0000000000000E6C
58513.00ns INFO [00007314] GPR Update: R09=0000000000000006
58521.00ns INFO [00007315] C0: CP 0:000E74 1:000E78 0000000000000E74
58521.00ns INFO [00007315] GPR Update: R09=0000000000000000
58521.00ns INFO [00007315] GPR Update: R10=0000000000000018
58529.00ns INFO [00007316] GPR Update: R09=0000000000001418
58537.00ns INFO [00007317] C0: CP 0:000E7C 0000000000000E7C
58545.00ns INFO [00007318] GPR Update: R09=00000000FFFFFFFF
58553.00ns INFO [00007319] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
58553.00ns INFO [00007319] C0: CP 0:000E80 0000000000000E80
58561.00ns INFO [00007320] C0: CP 0:000E84 0000000000000E84
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
58569.00ns INFO [00007321] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
0001FFAC, FFFFFFFF, 0000
0000
58569.00ns INFO [00007321] C0: CP 0:000E88 0000000000000E88
58569.00ns INFO [00007321] GPR Update: R09=0000000000000001
58577.00ns INFO [00007322] C0: CP 0:000E8C 0000000000000E8C
58577.00ns INFO [00007322] CR Update: F0=5
58617.00ns INFO [00007327] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
58633.00ns INFO [00007329] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
58649.00ns INFO [00007331] C0: CP 0:000E90 0000000000000E90
58657.00ns INFO [00007332] GPR Update: R10=00000000FFFFFFFF
58713.00ns INFO [00007339] C0: CP 0:000E94 0000000000000E94
58721.00ns INFO [00007340] C0: CP 0:000E98 0000000000000E98
58721.00ns INFO [00007340] GPR Update: R09=00000000FFFFFFFF
58729.00ns INFO [00007341] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
58729.00ns INFO [00007341] CR Update: F0=3
58737.00ns INFO [00007342] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
58737.00ns INFO [00007342] GPR Update: R09=0000000000000001
58777.00ns INFO [00007347] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
58793.00ns INFO [00007349] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
58873.00ns INFO [00007359] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
58881.00ns INFO [00007360] GPR Update: R09=0000000000000001
58881.00ns INFO [00007360] CR Update: F0=5
58889.00ns INFO [00007361] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
58897.00ns INFO [00007362] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
58897.00ns INFO [00007362] GPR Update: R09=0000000000000006
58905.00ns INFO [00007363] GPR Update: R09=0000000000000007
58937.00ns INFO [00007367] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000007FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000007FFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000007, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
7
58953.00ns INFO [00007369] Mem Update: @0001FFA0 00000006->00000007
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
58953.00ns INFO [00007369] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000007 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
59033.00ns INFO [00007379] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
59041.00ns INFO [00007380] GPR Update: R09=0000000000000007
59041.00ns INFO [00007380] CR Update: F0=9
59049.00ns INFO [00007381] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
59057.00ns INFO [00007382] C0: CP 0:000E50 1:000E54 0000000000000E50
59057.00ns INFO [00007382] GPR Update: R09=0000000000000007
59065.00ns INFO [00007383] C0: CP 0:000E58 1:000E5C 0000000000000E58
59065.00ns INFO [00007383] GPR Update: R09=0000000000000000
59065.00ns INFO [00007383] GPR Update: R10=000000000000001C
59073.00ns INFO [00007384] GPR Update: R09=000000000000137C
59113.00ns INFO [00007389] C0: CP 0:000E60 0000000000000E60
59121.00ns INFO [00007390] GPR Update: R09=00000000FFFFFFFF
59129.00ns INFO [00007391] C0: CP 0:000E64 1:000E68 0000000000000E64
59137.00ns INFO [00007392] C0: CP 0:000E6C 1:000E70 0000000000000E6C
59137.00ns INFO [00007392] GPR Update: R09=0000000000000007
59145.00ns INFO [00007393] C0: CP 0:000E74 1:000E78 0000000000000E74
59145.00ns INFO [00007393] GPR Update: R09=0000000000000000
59145.00ns INFO [00007393] GPR Update: R10=000000000000001C
59153.00ns INFO [00007394] C0: CP 0:000E7C 0000000000000E7C
59153.00ns INFO [00007394] GPR Update: R09=000000000000141C
59161.00ns INFO [00007395] C0: CP 0:000E80 0000000000000E80
59161.00ns INFO [00007395] GPR Update: R09=00000000FFFFFFFF
59169.00ns INFO [00007396] C0: CP 0:000E84 0000000000000E84
59177.00ns INFO [00007397] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
59177.00ns INFO [00007397] C0: CP 0:000E88 0000000000000E88
59177.00ns INFO [00007397] GPR Update: R09=0000000000000001
59185.00ns INFO [00007398] C0: CP 0:000E8C 0000000000000E8C
59185.00ns INFO [00007398] CR Update: F0=5
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
59193.00ns INFO [00007399] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
0001FFAC, FFFFFFFF, 0000
0000
59201.00ns INFO [00007400] ...tick...
59257.00ns INFO [00007407] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
59273.00ns INFO [00007409] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
59273.00ns INFO [00007409] C0: CP 0:000E90 0000000000000E90
59281.00ns INFO [00007410] GPR Update: R10=00000000FFFFFFFF
59353.00ns INFO [00007419] C0: CP 0:000E94 1:000E98 0000000000000E94
59361.00ns INFO [00007420] GPR Update: R09=00000000FFFFFFFF
59361.00ns INFO [00007420] CR Update: F0=3
59369.00ns INFO [00007421] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
59377.00ns INFO [00007422] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
59377.00ns INFO [00007422] GPR Update: R09=0000000000000001
59417.00ns INFO [00007427] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
59433.00ns INFO [00007429] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
59513.00ns INFO [00007439] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
59521.00ns INFO [00007440] GPR Update: R09=0000000000000001
59521.00ns INFO [00007440] CR Update: F0=5
59529.00ns INFO [00007441] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
59537.00ns INFO [00007442] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
59537.00ns INFO [00007442] GPR Update: R09=0000000000000007
59545.00ns INFO [00007443] GPR Update: R09=0000000000000008
59593.00ns INFO [00007449] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000008FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000008FFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000008, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
8
59609.00ns INFO [00007451] Mem Update: @0001FFA0 00000007->00000008
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
59609.00ns INFO [00007451] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000008 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
59689.00ns INFO [00007461] C0: CP 0:000ED4 0000000000000ED4
59697.00ns INFO [00007462] C0: CP 0:000ED8 0000000000000ED8
59697.00ns INFO [00007462] GPR Update: R09=0000000000000008
59705.00ns INFO [00007463] CR Update: F0=9
59713.00ns INFO [00007464] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
59721.00ns INFO [00007465] C0: CP 0:000E50 1:000E54 0000000000000E50
59721.00ns INFO [00007465] GPR Update: R09=0000000000000008
59729.00ns INFO [00007466] T0 LOAD 00001380 tag=00 len=4 WIMG:0
59729.00ns INFO [00007466] C0: CP 0:000E58 1:000E5C 0000000000000E58
59729.00ns INFO [00007466] GPR Update: R09=0000000000000000
59729.00ns INFO [00007466] GPR Update: R10=0000000000000020
59737.00ns INFO [00007467] GPR Update: R09=0000000000001380
59825.00ns INFO [00007478] C0: CP 0:000E60 0000000000000E60
59833.00ns INFO [00007479] GPR Update: R09=00000000FFFFFFFF
59857.00ns INFO [00007482] C0: CP 0:000E64 1:000E68 0000000000000E64
59865.00ns INFO [00007483] C0: CP 0:000E6C 1:000E70 0000000000000E6C
59865.00ns INFO [00007483] GPR Update: R09=0000000000000008
59873.00ns INFO [00007484] C0: CP 0:000E74 1:000E78 0000000000000E74
59873.00ns INFO [00007484] GPR Update: R09=0000000000000000
59873.00ns INFO [00007484] GPR Update: R10=0000000000000020
59881.00ns INFO [00007485] C0: CP 0:000E7C 1:000E80 0000000000000E7C
59881.00ns INFO [00007485] GPR Update: R09=0000000000001420
59889.00ns INFO [00007486] C0: CP 0:000E84 1:000E88 0000000000000E84
59889.00ns INFO [00007486] GPR Update: R09=00000000FFFFFFFF
59897.00ns INFO [00007487] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
59897.00ns INFO [00007487] C0: CP 0:000E8C 0000000000000E8C
59897.00ns INFO [00007487] GPR Update: R09=0000000000000001
59897.00ns INFO [00007487] CR Update: F0=5
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
59913.00ns INFO [00007489] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
0001FFAC, FFFFFFFF, 0000
0000
59969.00ns INFO [00007496] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
59985.00ns INFO [00007498] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
60001.00ns INFO [00007500] ...tick...
60001.00ns INFO [00007500] C0: CP 0:000E90 0000000000000E90
60009.00ns INFO [00007501] GPR Update: R10=00000000FFFFFFFF
60065.00ns INFO [00007508] C0: CP 0:000E94 0000000000000E94
60073.00ns INFO [00007509] C0: CP 0:000E98 0000000000000E98
60073.00ns INFO [00007509] GPR Update: R09=00000000FFFFFFFF
60081.00ns INFO [00007510] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
60081.00ns INFO [00007510] CR Update: F0=3
60089.00ns INFO [00007511] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
60089.00ns INFO [00007511] GPR Update: R09=0000000000000001
60129.00ns INFO [00007516] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
60145.00ns INFO [00007518] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
60225.00ns INFO [00007528] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
60233.00ns INFO [00007529] GPR Update: R09=0000000000000001
60233.00ns INFO [00007529] CR Update: F0=5
60241.00ns INFO [00007530] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
60249.00ns INFO [00007531] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
60249.00ns INFO [00007531] GPR Update: R09=0000000000000008
60257.00ns INFO [00007532] GPR Update: R09=0000000000000009
60289.00ns INFO [00007536] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000009FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000009FFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000009, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
9
60305.00ns INFO [00007538] Mem Update: @0001FFA0 00000008->00000009
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
60305.00ns INFO [00007538] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000009 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
60385.00ns INFO [00007548] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
60393.00ns INFO [00007549] GPR Update: R09=0000000000000009
60393.00ns INFO [00007549] CR Update: F0=9
60401.00ns INFO [00007550] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
60409.00ns INFO [00007551] C0: CP 0:000E50 1:000E54 0000000000000E50
60409.00ns INFO [00007551] GPR Update: R09=0000000000000009
60417.00ns INFO [00007552] C0: CP 0:000E58 1:000E5C 0000000000000E58
60417.00ns INFO [00007552] GPR Update: R09=0000000000000000
60417.00ns INFO [00007552] GPR Update: R10=0000000000000024
60425.00ns INFO [00007553] GPR Update: R09=0000000000001384
60465.00ns INFO [00007558] C0: CP 0:000E60 0000000000000E60
60473.00ns INFO [00007559] GPR Update: R09=00000000008A0C68
60481.00ns INFO [00007560] C0: CP 0:000E64 1:000E68 0000000000000E64
60489.00ns INFO [00007561] C0: CP 0:000E6C 1:000E70 0000000000000E6C
60489.00ns INFO [00007561] GPR Update: R09=0000000000000009
60497.00ns INFO [00007562] C0: CP 0:000E74 1:000E78 0000000000000E74
60497.00ns INFO [00007562] GPR Update: R09=0000000000000000
60497.00ns INFO [00007562] GPR Update: R10=0000000000000024
60505.00ns INFO [00007563] C0: CP 0:000E7C 0000000000000E7C
60505.00ns INFO [00007563] GPR Update: R09=0000000000001424
60513.00ns INFO [00007564] C0: CP 0:000E80 0000000000000E80
60513.00ns INFO [00007564] GPR Update: R09=00000000008A0C68
60521.00ns INFO [00007565] C0: CP 0:000E84 0000000000000E84
60529.00ns INFO [00007566] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000008A0C68FFFFFFFF00000000000000000000000000000000 WIMG:0
60529.00ns INFO [00007566] C0: CP 0:000E88 0000000000000E88
60529.00ns INFO [00007566] GPR Update: R09=0000000000000001
60537.00ns INFO [00007567] C0: CP 0:000E8C 0000000000000E8C
60537.00ns INFO [00007567] CR Update: F0=5
0001FFA0
0001FFA0, FFFFFFFF00000000008A0C68FFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 008A0C68, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
9047144
60545.00ns INFO [00007568] Mem Update: @0001FFA8 FFFFFFFF->008A0C68
0001FFAC, FFFFFFFF, 0000
0000
60545.00ns INFO [00007568] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000009 00000001 008A0C68 FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...........h.....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
60609.00ns INFO [00007576] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000008A0C6800000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF00000000008A0C68, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, 008A0C68, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
9047144
60625.00ns INFO [00007578] Mem Update: @0001FFAC FFFFFFFF->008A0C68
60625.00ns INFO [00007578] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000009 00000001 008A0C68 008A0C68 08675309 00000000 0001FFF8 00001350 ...........h...h.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
60625.00ns INFO [00007578] C0: CP 0:000E90 0000000000000E90
60633.00ns INFO [00007579] GPR Update: R10=00000000008A0C68
60705.00ns INFO [00007588] C0: CP 0:000E94 1:000E98 0000000000000E94
60713.00ns INFO [00007589] GPR Update: R09=00000000008A0C68
60713.00ns INFO [00007589] CR Update: F0=3
60721.00ns INFO [00007590] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
60729.00ns INFO [00007591] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
60729.00ns INFO [00007591] GPR Update: R09=0000000000000001
60769.00ns INFO [00007596] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
60785.00ns INFO [00007598] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
60801.00ns INFO [00007600] ...tick...
60865.00ns INFO [00007608] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
60873.00ns INFO [00007609] GPR Update: R09=0000000000000001
60873.00ns INFO [00007609] CR Update: F0=5
60881.00ns INFO [00007610] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
60889.00ns INFO [00007611] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
60889.00ns INFO [00007611] GPR Update: R09=0000000000000009
60897.00ns INFO [00007612] GPR Update: R09=000000000000000A
60945.00ns INFO [00007618] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000AFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000AFFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 0000000A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
10
60961.00ns INFO [00007620] Mem Update: @0001FFA0 00000009->0000000A
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
60961.00ns INFO [00007620] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000A 00000001 008A0C68 008A0C68 08675309 00000000 0001FFF8 00001350 ...........h...h.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
61041.00ns INFO [00007630] C0: CP 0:000ED4 0000000000000ED4
61049.00ns INFO [00007631] C0: CP 0:000ED8 0000000000000ED8
61049.00ns INFO [00007631] GPR Update: R09=000000000000000A
61057.00ns INFO [00007632] CR Update: F0=9
61065.00ns INFO [00007633] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
61073.00ns INFO [00007634] C0: CP 0:000E50 1:000E54 0000000000000E50
61073.00ns INFO [00007634] GPR Update: R09=000000000000000A
61081.00ns INFO [00007635] C0: CP 0:000E58 1:000E5C 0000000000000E58
61081.00ns INFO [00007635] GPR Update: R09=0000000000000000
61081.00ns INFO [00007635] GPR Update: R10=0000000000000028
61089.00ns INFO [00007636] GPR Update: R09=0000000000001388
61121.00ns INFO [00007640] C0: CP 0:000E60 0000000000000E60
61129.00ns INFO [00007641] GPR Update: R09=00000000FFFFFFFF
61137.00ns INFO [00007642] C0: CP 0:000E64 1:000E68 0000000000000E64
61145.00ns INFO [00007643] C0: CP 0:000E6C 1:000E70 0000000000000E6C
61145.00ns INFO [00007643] GPR Update: R09=000000000000000A
61153.00ns INFO [00007644] C0: CP 0:000E74 1:000E78 0000000000000E74
61153.00ns INFO [00007644] GPR Update: R09=0000000000000000
61153.00ns INFO [00007644] GPR Update: R10=0000000000000028
61161.00ns INFO [00007645] GPR Update: R09=0000000000001428
61169.00ns INFO [00007646] C0: CP 0:000E7C 0000000000000E7C
61177.00ns INFO [00007647] GPR Update: R09=00000000FFFFFFFF
61185.00ns INFO [00007648] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
61185.00ns INFO [00007648] C0: CP 0:000E80 0000000000000E80
61193.00ns INFO [00007649] C0: CP 0:000E84 0000000000000E84
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
61201.00ns INFO [00007650] Mem Update: @0001FFA8 008A0C68->FFFFFFFF
0001FFAC, FFFFFFFF, 0000
0000
61201.00ns INFO [00007650] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000A 00000001 FFFFFFFF 008A0C68 08675309 00000000 0001FFF8 00001350 ...............h.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
61201.00ns INFO [00007650] C0: CP 0:000E88 0000000000000E88
61201.00ns INFO [00007650] GPR Update: R09=0000000000000001
61209.00ns INFO [00007651] C0: CP 0:000E8C 0000000000000E8C
61209.00ns INFO [00007651] CR Update: F0=5
61249.00ns INFO [00007656] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
61265.00ns INFO [00007658] Mem Update: @0001FFAC 008A0C68->FFFFFFFF
61265.00ns INFO [00007658] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000A 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
61281.00ns INFO [00007660] C0: CP 0:000E90 0000000000000E90
61289.00ns INFO [00007661] GPR Update: R10=00000000FFFFFFFF
61345.00ns INFO [00007668] C0: CP 0:000E94 0000000000000E94
61353.00ns INFO [00007669] C0: CP 0:000E98 0000000000000E98
61353.00ns INFO [00007669] GPR Update: R09=00000000FFFFFFFF
61361.00ns INFO [00007670] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
61361.00ns INFO [00007670] CR Update: F0=3
61369.00ns INFO [00007671] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
61369.00ns INFO [00007671] GPR Update: R09=0000000000000001
61409.00ns INFO [00007676] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
61425.00ns INFO [00007678] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
61505.00ns INFO [00007688] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
61513.00ns INFO [00007689] GPR Update: R09=0000000000000001
61513.00ns INFO [00007689] CR Update: F0=5
61521.00ns INFO [00007690] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
61529.00ns INFO [00007691] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
61529.00ns INFO [00007691] GPR Update: R09=000000000000000A
61537.00ns INFO [00007692] GPR Update: R09=000000000000000B
61569.00ns INFO [00007696] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000BFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000BFFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 0000000B, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
11
61585.00ns INFO [00007698] Mem Update: @0001FFA0 0000000A->0000000B
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
61585.00ns INFO [00007698] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000B 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
61601.00ns INFO [00007700] ...tick...
61665.00ns INFO [00007708] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
61673.00ns INFO [00007709] GPR Update: R09=000000000000000B
61673.00ns INFO [00007709] CR Update: F0=9
61681.00ns INFO [00007710] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
61689.00ns INFO [00007711] C0: CP 0:000E50 1:000E54 0000000000000E50
61689.00ns INFO [00007711] GPR Update: R09=000000000000000B
61697.00ns INFO [00007712] C0: CP 0:000E58 1:000E5C 0000000000000E58
61697.00ns INFO [00007712] GPR Update: R09=0000000000000000
61697.00ns INFO [00007712] GPR Update: R10=000000000000002C
61705.00ns INFO [00007713] GPR Update: R09=000000000000138C
61745.00ns INFO [00007718] C0: CP 0:000E60 0000000000000E60
61753.00ns INFO [00007719] GPR Update: R09=000000007FFFFFFF
61761.00ns INFO [00007720] C0: CP 0:000E64 1:000E68 0000000000000E64
61769.00ns INFO [00007721] C0: CP 0:000E6C 1:000E70 0000000000000E6C
61769.00ns INFO [00007721] GPR Update: R09=000000000000000B
61777.00ns INFO [00007722] C0: CP 0:000E74 1:000E78 0000000000000E74
61777.00ns INFO [00007722] GPR Update: R09=0000000000000000
61777.00ns INFO [00007722] GPR Update: R10=000000000000002C
61785.00ns INFO [00007723] C0: CP 0:000E7C 0000000000000E7C
61785.00ns INFO [00007723] GPR Update: R09=000000000000142C
61793.00ns INFO [00007724] C0: CP 0:000E80 0000000000000E80
61793.00ns INFO [00007724] GPR Update: R09=000000007FFFFFFF
61801.00ns INFO [00007725] C0: CP 0:000E84 0000000000000E84
61809.00ns INFO [00007726] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF000000007FFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
61809.00ns INFO [00007726] C0: CP 0:000E88 0000000000000E88
61809.00ns INFO [00007726] GPR Update: R09=0000000000000001
61817.00ns INFO [00007727] C0: CP 0:000E8C 0000000000000E8C
61817.00ns INFO [00007727] CR Update: F0=5
0001FFA0
0001FFA0, FFFFFFFF000000007FFFFFFFFFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 7FFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2147483647
61825.00ns INFO [00007728] Mem Update: @0001FFA8 FFFFFFFF->7FFFFFFF
0001FFAC, FFFFFFFF, 0000
0000
61825.00ns INFO [00007728] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000B 00000001 7FFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
61889.00ns INFO [00007736] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000007FFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF000000007FFFFFFF, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, 7FFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2147483647
61905.00ns INFO [00007738] Mem Update: @0001FFAC FFFFFFFF->7FFFFFFF
61905.00ns INFO [00007738] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000B 00000001 7FFFFFFF 7FFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
61905.00ns INFO [00007738] C0: CP 0:000E90 0000000000000E90
61913.00ns INFO [00007739] GPR Update: R10=000000007FFFFFFF
61985.00ns INFO [00007748] C0: CP 0:000E94 1:000E98 0000000000000E94
61993.00ns INFO [00007749] GPR Update: R09=000000007FFFFFFF
61993.00ns INFO [00007749] CR Update: F0=3
62001.00ns INFO [00007750] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
62009.00ns INFO [00007751] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
62009.00ns INFO [00007751] GPR Update: R09=0000000000000001
62049.00ns INFO [00007756] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
62065.00ns INFO [00007758] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
62145.00ns INFO [00007768] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
62153.00ns INFO [00007769] GPR Update: R09=0000000000000001
62153.00ns INFO [00007769] CR Update: F0=5
62161.00ns INFO [00007770] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
62169.00ns INFO [00007771] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
62169.00ns INFO [00007771] GPR Update: R09=000000000000000B
62177.00ns INFO [00007772] GPR Update: R09=000000000000000C
62225.00ns INFO [00007778] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000CFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000CFFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 0000000C, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
12
62241.00ns INFO [00007780] Mem Update: @0001FFA0 0000000B->0000000C
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
62241.00ns INFO [00007780] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000C 00000001 7FFFFFFF 7FFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
62321.00ns INFO [00007790] C0: CP 0:000ED4 0000000000000ED4
62329.00ns INFO [00007791] C0: CP 0:000ED8 0000000000000ED8
62329.00ns INFO [00007791] GPR Update: R09=000000000000000C
62337.00ns INFO [00007792] CR Update: F0=9
62345.00ns INFO [00007793] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
62353.00ns INFO [00007794] C0: CP 0:000E50 1:000E54 0000000000000E50
62353.00ns INFO [00007794] GPR Update: R09=000000000000000C
62361.00ns INFO [00007795] C0: CP 0:000E58 1:000E5C 0000000000000E58
62361.00ns INFO [00007795] GPR Update: R09=0000000000000000
62361.00ns INFO [00007795] GPR Update: R10=0000000000000030
62369.00ns INFO [00007796] GPR Update: R09=0000000000001390
62401.00ns INFO [00007800] ...tick...
62401.00ns INFO [00007800] C0: CP 0:000E60 0000000000000E60
62409.00ns INFO [00007801] GPR Update: R09=00000000FFFFFFFF
62417.00ns INFO [00007802] C0: CP 0:000E64 1:000E68 0000000000000E64
62425.00ns INFO [00007803] C0: CP 0:000E6C 1:000E70 0000000000000E6C
62425.00ns INFO [00007803] GPR Update: R09=000000000000000C
62433.00ns INFO [00007804] C0: CP 0:000E74 1:000E78 0000000000000E74
62433.00ns INFO [00007804] GPR Update: R09=0000000000000000
62433.00ns INFO [00007804] GPR Update: R10=0000000000000030
62441.00ns INFO [00007805] GPR Update: R09=0000000000001430
62449.00ns INFO [00007806] C0: CP 0:000E7C 0000000000000E7C
62457.00ns INFO [00007807] GPR Update: R09=00000000FFFFFFFF
62465.00ns INFO [00007808] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
62465.00ns INFO [00007808] C0: CP 0:000E80 0000000000000E80
62473.00ns INFO [00007809] C0: CP 0:000E84 0000000000000E84
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
62481.00ns INFO [00007810] Mem Update: @0001FFA8 7FFFFFFF->FFFFFFFF
0001FFAC, FFFFFFFF, 0000
0000
62481.00ns INFO [00007810] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000C 00000001 FFFFFFFF 7FFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
62481.00ns INFO [00007810] C0: CP 0:000E88 0000000000000E88
62481.00ns INFO [00007810] GPR Update: R09=0000000000000001
62489.00ns INFO [00007811] C0: CP 0:000E8C 0000000000000E8C
62489.00ns INFO [00007811] CR Update: F0=5
62529.00ns INFO [00007816] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
62545.00ns INFO [00007818] Mem Update: @0001FFAC 7FFFFFFF->FFFFFFFF
62545.00ns INFO [00007818] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000C 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
62561.00ns INFO [00007820] C0: CP 0:000E90 0000000000000E90
62569.00ns INFO [00007821] GPR Update: R10=00000000FFFFFFFF
62625.00ns INFO [00007828] C0: CP 0:000E94 0000000000000E94
62633.00ns INFO [00007829] C0: CP 0:000E98 0000000000000E98
62633.00ns INFO [00007829] GPR Update: R09=00000000FFFFFFFF
62641.00ns INFO [00007830] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
62641.00ns INFO [00007830] CR Update: F0=3
62649.00ns INFO [00007831] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
62649.00ns INFO [00007831] GPR Update: R09=0000000000000001
62689.00ns INFO [00007836] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
62705.00ns INFO [00007838] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
62785.00ns INFO [00007848] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
62793.00ns INFO [00007849] GPR Update: R09=0000000000000001
62793.00ns INFO [00007849] CR Update: F0=5
62801.00ns INFO [00007850] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
62809.00ns INFO [00007851] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
62809.00ns INFO [00007851] GPR Update: R09=000000000000000C
62817.00ns INFO [00007852] GPR Update: R09=000000000000000D
62849.00ns INFO [00007856] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000DFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000DFFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 0000000D, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
13
62865.00ns INFO [00007858] Mem Update: @0001FFA0 0000000C->0000000D
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
62865.00ns INFO [00007858] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000D 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
62945.00ns INFO [00007868] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
62953.00ns INFO [00007869] GPR Update: R09=000000000000000D
62953.00ns INFO [00007869] CR Update: F0=9
62961.00ns INFO [00007870] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
62969.00ns INFO [00007871] C0: CP 0:000E50 1:000E54 0000000000000E50
62969.00ns INFO [00007871] GPR Update: R09=000000000000000D
62977.00ns INFO [00007872] C0: CP 0:000E58 1:000E5C 0000000000000E58
62977.00ns INFO [00007872] GPR Update: R09=0000000000000000
62977.00ns INFO [00007872] GPR Update: R10=0000000000000034
62985.00ns INFO [00007873] GPR Update: R09=0000000000001394
63025.00ns INFO [00007878] C0: CP 0:000E60 0000000000000E60
63033.00ns INFO [00007879] GPR Update: R09=00000000FFFFFFFF
63041.00ns INFO [00007880] C0: CP 0:000E64 1:000E68 0000000000000E64
63049.00ns INFO [00007881] C0: CP 0:000E6C 1:000E70 0000000000000E6C
63049.00ns INFO [00007881] GPR Update: R09=000000000000000D
63057.00ns INFO [00007882] C0: CP 0:000E74 1:000E78 0000000000000E74
63057.00ns INFO [00007882] GPR Update: R09=0000000000000000
63057.00ns INFO [00007882] GPR Update: R10=0000000000000034
63065.00ns INFO [00007883] C0: CP 0:000E7C 0000000000000E7C
63065.00ns INFO [00007883] GPR Update: R09=0000000000001434
63073.00ns INFO [00007884] C0: CP 0:000E80 0000000000000E80
63073.00ns INFO [00007884] GPR Update: R09=00000000FFFFFFFF
63081.00ns INFO [00007885] C0: CP 0:000E84 0000000000000E84
63089.00ns INFO [00007886] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
63089.00ns INFO [00007886] C0: CP 0:000E88 0000000000000E88
63089.00ns INFO [00007886] GPR Update: R09=0000000000000001
63097.00ns INFO [00007887] C0: CP 0:000E8C 0000000000000E8C
63097.00ns INFO [00007887] CR Update: F0=5
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
63105.00ns INFO [00007888] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
0001FFAC, FFFFFFFF, 0000
0000
63169.00ns INFO [00007896] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
63185.00ns INFO [00007898] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
63185.00ns INFO [00007898] C0: CP 0:000E90 0000000000000E90
63193.00ns INFO [00007899] GPR Update: R10=00000000FFFFFFFF
63201.00ns INFO [00007900] ...tick...
63265.00ns INFO [00007908] C0: CP 0:000E94 1:000E98 0000000000000E94
63273.00ns INFO [00007909] GPR Update: R09=00000000FFFFFFFF
63273.00ns INFO [00007909] CR Update: F0=3
63281.00ns INFO [00007910] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
63289.00ns INFO [00007911] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
63289.00ns INFO [00007911] GPR Update: R09=0000000000000001
63329.00ns INFO [00007916] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
63345.00ns INFO [00007918] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
63425.00ns INFO [00007928] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
63433.00ns INFO [00007929] GPR Update: R09=0000000000000001
63433.00ns INFO [00007929] CR Update: F0=5
63441.00ns INFO [00007930] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
63449.00ns INFO [00007931] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
63449.00ns INFO [00007931] GPR Update: R09=000000000000000D
63457.00ns INFO [00007932] GPR Update: R09=000000000000000E
63505.00ns INFO [00007938] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000EFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000EFFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 0000000E, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
14
63521.00ns INFO [00007940] Mem Update: @0001FFA0 0000000D->0000000E
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
63521.00ns INFO [00007940] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000E 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
63601.00ns INFO [00007950] C0: CP 0:000ED4 0000000000000ED4
63609.00ns INFO [00007951] C0: CP 0:000ED8 0000000000000ED8
63609.00ns INFO [00007951] GPR Update: R09=000000000000000E
63617.00ns INFO [00007952] CR Update: F0=9
63625.00ns INFO [00007953] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
63633.00ns INFO [00007954] C0: CP 0:000E50 1:000E54 0000000000000E50
63633.00ns INFO [00007954] GPR Update: R09=000000000000000E
63641.00ns INFO [00007955] C0: CP 0:000E58 1:000E5C 0000000000000E58
63641.00ns INFO [00007955] GPR Update: R09=0000000000000000
63641.00ns INFO [00007955] GPR Update: R10=0000000000000038
63649.00ns INFO [00007956] GPR Update: R09=0000000000001398
63681.00ns INFO [00007960] C0: CP 0:000E60 0000000000000E60
63689.00ns INFO [00007961] GPR Update: R09=000000008C20BDE6
63697.00ns INFO [00007962] C0: CP 0:000E64 1:000E68 0000000000000E64
63705.00ns INFO [00007963] C0: CP 0:000E6C 1:000E70 0000000000000E6C
63705.00ns INFO [00007963] GPR Update: R09=000000000000000E
63713.00ns INFO [00007964] C0: CP 0:000E74 1:000E78 0000000000000E74
63713.00ns INFO [00007964] GPR Update: R09=0000000000000000
63713.00ns INFO [00007964] GPR Update: R10=0000000000000038
63721.00ns INFO [00007965] GPR Update: R09=0000000000001438
63729.00ns INFO [00007966] C0: CP 0:000E7C 0000000000000E7C
63737.00ns INFO [00007967] GPR Update: R09=000000008C20BDE6
63745.00ns INFO [00007968] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF000000008C20BDE6FFFFFFFF00000000000000000000000000000000 WIMG:0
63745.00ns INFO [00007968] C0: CP 0:000E80 0000000000000E80
63753.00ns INFO [00007969] C0: CP 0:000E84 0000000000000E84
0001FFA0
0001FFA0, FFFFFFFF000000008C20BDE6FFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 8C20BDE6, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2350956006
63761.00ns INFO [00007970] Mem Update: @0001FFA8 FFFFFFFF->8C20BDE6
0001FFAC, FFFFFFFF, 0000
0000
63761.00ns INFO [00007970] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000E 00000001 8C20BDE6 FFFFFFFF 08675309 00000000 0001FFF8 00001350 ......... .......gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
63761.00ns INFO [00007970] C0: CP 0:000E88 0000000000000E88
63761.00ns INFO [00007970] GPR Update: R09=0000000000000001
63769.00ns INFO [00007971] C0: CP 0:000E8C 0000000000000E8C
63769.00ns INFO [00007971] CR Update: F0=5
63809.00ns INFO [00007976] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000008C20BDE600000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF000000008C20BDE6, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, 8C20BDE6, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2350956006
63825.00ns INFO [00007978] Mem Update: @0001FFAC FFFFFFFF->8C20BDE6
63825.00ns INFO [00007978] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000E 00000001 8C20BDE6 8C20BDE6 08675309 00000000 0001FFF8 00001350 ......... ... ...gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
63841.00ns INFO [00007980] C0: CP 0:000E90 0000000000000E90
63849.00ns INFO [00007981] GPR Update: R10=000000008C20BDE6
63905.00ns INFO [00007988] C0: CP 0:000E94 0000000000000E94
63913.00ns INFO [00007989] C0: CP 0:000E98 0000000000000E98
63913.00ns INFO [00007989] GPR Update: R09=000000008C20BDE6
63921.00ns INFO [00007990] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
63921.00ns INFO [00007990] CR Update: F0=3
63929.00ns INFO [00007991] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
63929.00ns INFO [00007991] GPR Update: R09=0000000000000001
63969.00ns INFO [00007996] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
63985.00ns INFO [00007998] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
64001.00ns INFO [00008000] ...tick...
64065.00ns INFO [00008008] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
64073.00ns INFO [00008009] GPR Update: R09=0000000000000001
64073.00ns INFO [00008009] CR Update: F0=5
64081.00ns INFO [00008010] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
64089.00ns INFO [00008011] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
64089.00ns INFO [00008011] GPR Update: R09=000000000000000E
64097.00ns INFO [00008012] GPR Update: R09=000000000000000F
64129.00ns INFO [00008016] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000FFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000FFFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 0000000F, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
15
64145.00ns INFO [00008018] Mem Update: @0001FFA0 0000000E->0000000F
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
64145.00ns INFO [00008018] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000F 00000001 8C20BDE6 8C20BDE6 08675309 00000000 0001FFF8 00001350 ......... ... ...gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
64225.00ns INFO [00008028] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
64233.00ns INFO [00008029] GPR Update: R09=000000000000000F
64233.00ns INFO [00008029] CR Update: F0=9
64241.00ns INFO [00008030] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
64249.00ns INFO [00008031] C0: CP 0:000E50 1:000E54 0000000000000E50
64249.00ns INFO [00008031] GPR Update: R09=000000000000000F
64257.00ns INFO [00008032] C0: CP 0:000E58 1:000E5C 0000000000000E58
64257.00ns INFO [00008032] GPR Update: R09=0000000000000000
64257.00ns INFO [00008032] GPR Update: R10=000000000000003C
64265.00ns INFO [00008033] GPR Update: R09=000000000000139C
64305.00ns INFO [00008038] C0: CP 0:000E60 0000000000000E60
64313.00ns INFO [00008039] GPR Update: R09=00000000FFFFFFFF
64321.00ns INFO [00008040] C0: CP 0:000E64 1:000E68 0000000000000E64
64329.00ns INFO [00008041] C0: CP 0:000E6C 1:000E70 0000000000000E6C
64329.00ns INFO [00008041] GPR Update: R09=000000000000000F
64337.00ns INFO [00008042] C0: CP 0:000E74 1:000E78 0000000000000E74
64337.00ns INFO [00008042] GPR Update: R09=0000000000000000
64337.00ns INFO [00008042] GPR Update: R10=000000000000003C
64345.00ns INFO [00008043] C0: CP 0:000E7C 0000000000000E7C
64345.00ns INFO [00008043] GPR Update: R09=000000000000143C
64353.00ns INFO [00008044] C0: CP 0:000E80 0000000000000E80
64353.00ns INFO [00008044] GPR Update: R09=00000000FFFFFFFF
64361.00ns INFO [00008045] C0: CP 0:000E84 0000000000000E84
64369.00ns INFO [00008046] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
64369.00ns INFO [00008046] C0: CP 0:000E88 0000000000000E88
64369.00ns INFO [00008046] GPR Update: R09=0000000000000001
64377.00ns INFO [00008047] C0: CP 0:000E8C 0000000000000E8C
64377.00ns INFO [00008047] CR Update: F0=5
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFFFFFFFFF, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
64385.00ns INFO [00008048] Mem Update: @0001FFA8 8C20BDE6->FFFFFFFF
0001FFAC, FFFFFFFF, 0000
0000
64385.00ns INFO [00008048] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000F 00000001 FFFFFFFF 8C20BDE6 08675309 00000000 0001FFF8 00001350 ............. ...gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
64449.00ns INFO [00008056] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, FFFFFFFFFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
64465.00ns INFO [00008058] Mem Update: @0001FFAC 8C20BDE6->FFFFFFFF
64465.00ns INFO [00008058] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000F 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
64465.00ns INFO [00008058] C0: CP 0:000E90 0000000000000E90
64473.00ns INFO [00008059] GPR Update: R10=00000000FFFFFFFF
64545.00ns INFO [00008068] C0: CP 0:000E94 1:000E98 0000000000000E94
64553.00ns INFO [00008069] GPR Update: R09=00000000FFFFFFFF
64553.00ns INFO [00008069] CR Update: F0=3
64561.00ns INFO [00008070] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
64569.00ns INFO [00008071] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
64569.00ns INFO [00008071] GPR Update: R09=0000000000000001
64609.00ns INFO [00008076] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001FFFFFFFFFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
64625.00ns INFO [00008078] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
64705.00ns INFO [00008088] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
64713.00ns INFO [00008089] GPR Update: R09=0000000000000001
64713.00ns INFO [00008089] CR Update: F0=5
64721.00ns INFO [00008090] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
64729.00ns INFO [00008091] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
64729.00ns INFO [00008091] GPR Update: R09=000000000000000F
64737.00ns INFO [00008092] GPR Update: R09=0000000000000010
64785.00ns INFO [00008098] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000010FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
64801.00ns INFO [00008100] ...tick...
0001FFA0
0001FFA0, 00000010FFFFFFFFFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000010, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
16
64801.00ns INFO [00008100] Mem Update: @0001FFA0 0000000F->00000010
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
64801.00ns INFO [00008100] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000010 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
64881.00ns INFO [00008110] C0: CP 0:000ED4 0000000000000ED4
64889.00ns INFO [00008111] C0: CP 0:000ED8 0000000000000ED8
64889.00ns INFO [00008111] GPR Update: R09=0000000000000010
64897.00ns INFO [00008112] CR Update: F0=9
64905.00ns INFO [00008113] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
64913.00ns INFO [00008114] C0: CP 0:000E50 1:000E54 0000000000000E50
64913.00ns INFO [00008114] GPR Update: R09=0000000000000010
64921.00ns INFO [00008115] C0: CP 0:000E58 1:000E5C 0000000000000E58
64921.00ns INFO [00008115] GPR Update: R09=0000000000000000
64921.00ns INFO [00008115] GPR Update: R10=0000000000000040
64929.00ns INFO [00008116] GPR Update: R09=00000000000013A0
64961.00ns INFO [00008120] C0: CP 0:000E60 0000000000000E60
64969.00ns INFO [00008121] T0 LOAD 00001440 tag=00 len=4 WIMG:0
64969.00ns INFO [00008121] GPR Update: R09=0000000008AEBF68
64977.00ns INFO [00008122] C0: CP 0:000E64 1:000E68 0000000000000E64
64985.00ns INFO [00008123] C0: CP 0:000E6C 1:000E70 0000000000000E6C
64985.00ns INFO [00008123] GPR Update: R09=0000000000000010
64993.00ns INFO [00008124] C0: CP 0:000E74 1:000E78 0000000000000E74
64993.00ns INFO [00008124] GPR Update: R09=0000000000000000
64993.00ns INFO [00008124] GPR Update: R10=0000000000000040
65001.00ns INFO [00008125] GPR Update: R09=0000000000001440
65065.00ns INFO [00008133] C0: CP 0:000E7C 0000000000000E7C
65073.00ns INFO [00008134] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000008AEBF68D624B27A00000000000000000000000000000000 WIMG:0
65073.00ns INFO [00008134] GPR Update: R09=0000000008AEBF68
0001FFA0
0001FFA0, FFFFFFFF0000000008AEBF68D624B27A, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 08AEBF68, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
145669992
65089.00ns INFO [00008136] Mem Update: @0001FFA8 FFFFFFFF->08AEBF68
0001FFAC, D624B27A, 0000
0000
65089.00ns INFO [00008136] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000010 00000001 08AEBF68 FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...........h.....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
65097.00ns INFO [00008137] C0: CP 0:000E80 1:000E84 0000000000000E80
65105.00ns INFO [00008138] C0: CP 0:000E88 1:000E8C 0000000000000E88
65105.00ns INFO [00008138] GPR Update: R09=0000000000000001
65113.00ns INFO [00008139] CR Update: F0=5
65137.00ns INFO [00008142] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF0000000008AEBF6800000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, D624B27AFFFFFFFF0000000008AEBF68, 0000000000001111
0001FFA0, D624B27A, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, 08AEBF68, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
145669992
65153.00ns INFO [00008144] Mem Update: @0001FFAC FFFFFFFF->08AEBF68
65153.00ns INFO [00008144] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000010 00000001 08AEBF68 08AEBF68 08675309 00000000 0001FFF8 00001350 ...........h...h.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
65169.00ns INFO [00008146] C0: CP 0:000E90 0000000000000E90
65177.00ns INFO [00008147] GPR Update: R10=0000000008AEBF68
65241.00ns INFO [00008155] C0: CP 0:000E94 0000000000000E94
65249.00ns INFO [00008156] C0: CP 0:000E98 0000000000000E98
65249.00ns INFO [00008156] GPR Update: R09=0000000008AEBF68
65257.00ns INFO [00008157] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
65257.00ns INFO [00008157] CR Update: F0=3
65265.00ns INFO [00008158] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
65265.00ns INFO [00008158] GPR Update: R09=0000000000000001
65313.00ns INFO [00008164] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001D624B27AFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
65329.00ns INFO [00008166] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, D624B27A, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
65409.00ns INFO [00008176] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
65417.00ns INFO [00008177] GPR Update: R09=0000000000000001
65417.00ns INFO [00008177] CR Update: F0=5
65425.00ns INFO [00008178] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
65433.00ns INFO [00008179] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
65433.00ns INFO [00008179] GPR Update: R09=0000000000000010
65441.00ns INFO [00008180] GPR Update: R09=0000000000000011
65473.00ns INFO [00008184] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000011D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000011D624B27AFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000011, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
17
65489.00ns INFO [00008186] Mem Update: @0001FFA0 00000010->00000011
0001FFA4, D624B27A, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
65489.00ns INFO [00008186] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000011 00000001 08AEBF68 08AEBF68 08675309 00000000 0001FFF8 00001350 ...........h...h.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
65569.00ns INFO [00008196] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
65577.00ns INFO [00008197] GPR Update: R09=0000000000000011
65577.00ns INFO [00008197] CR Update: F0=9
65585.00ns INFO [00008198] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
65593.00ns INFO [00008199] C0: CP 0:000E50 1:000E54 0000000000000E50
65593.00ns INFO [00008199] GPR Update: R09=0000000000000011
65601.00ns INFO [00008200] ...tick...
65601.00ns INFO [00008200] C0: CP 0:000E58 1:000E5C 0000000000000E58
65601.00ns INFO [00008200] GPR Update: R09=0000000000000000
65601.00ns INFO [00008200] GPR Update: R10=0000000000000044
65609.00ns INFO [00008201] GPR Update: R09=00000000000013A4
65649.00ns INFO [00008206] C0: CP 0:000E60 0000000000000E60
65657.00ns INFO [00008207] GPR Update: R09=0000000080000001
65665.00ns INFO [00008208] C0: CP 0:000E64 1:000E68 0000000000000E64
65673.00ns INFO [00008209] C0: CP 0:000E6C 1:000E70 0000000000000E6C
65673.00ns INFO [00008209] GPR Update: R09=0000000000000011
65681.00ns INFO [00008210] C0: CP 0:000E74 1:000E78 0000000000000E74
65681.00ns INFO [00008210] GPR Update: R09=0000000000000000
65681.00ns INFO [00008210] GPR Update: R10=0000000000000044
65689.00ns INFO [00008211] C0: CP 0:000E7C 0000000000000E7C
65689.00ns INFO [00008211] GPR Update: R09=0000000000001444
65697.00ns INFO [00008212] C0: CP 0:000E80 0000000000000E80
65697.00ns INFO [00008212] GPR Update: R09=0000000080000001
65705.00ns INFO [00008213] C0: CP 0:000E84 0000000000000E84
65713.00ns INFO [00008214] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000080000001D624B27A00000000000000000000000000000000 WIMG:0
65713.00ns INFO [00008214] C0: CP 0:000E88 0000000000000E88
65713.00ns INFO [00008214] GPR Update: R09=0000000000000001
65721.00ns INFO [00008215] C0: CP 0:000E8C 0000000000000E8C
65721.00ns INFO [00008215] CR Update: F0=5
0001FFA0
0001FFA0, FFFFFFFF0000000080000001D624B27A, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 80000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2147483649
65729.00ns INFO [00008216] Mem Update: @0001FFA8 08AEBF68->80000001
0001FFAC, D624B27A, 0000
0000
65729.00ns INFO [00008216] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000011 00000001 80000001 08AEBF68 08675309 00000000 0001FFF8 00001350 ...............h.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
65793.00ns INFO [00008224] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF000000008000000100000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, D624B27AFFFFFFFF0000000080000001, 0000000000001111
0001FFA0, D624B27A, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, 80000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2147483649
65809.00ns INFO [00008226] Mem Update: @0001FFAC 08AEBF68->80000001
65809.00ns INFO [00008226] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000011 00000001 80000001 80000001 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
65809.00ns INFO [00008226] C0: CP 0:000E90 0000000000000E90
65817.00ns INFO [00008227] GPR Update: R10=0000000080000001
65889.00ns INFO [00008236] C0: CP 0:000E94 1:000E98 0000000000000E94
65897.00ns INFO [00008237] GPR Update: R09=0000000080000001
65897.00ns INFO [00008237] CR Update: F0=3
65905.00ns INFO [00008238] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
65913.00ns INFO [00008239] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
65913.00ns INFO [00008239] GPR Update: R09=0000000000000001
65953.00ns INFO [00008244] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001D624B27AFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
65969.00ns INFO [00008246] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, D624B27A, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
66049.00ns INFO [00008256] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
66057.00ns INFO [00008257] GPR Update: R09=0000000000000001
66057.00ns INFO [00008257] CR Update: F0=5
66065.00ns INFO [00008258] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
66073.00ns INFO [00008259] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
66073.00ns INFO [00008259] GPR Update: R09=0000000000000011
66081.00ns INFO [00008260] GPR Update: R09=0000000000000012
66129.00ns INFO [00008266] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000012D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000012D624B27AFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000012, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
18
66145.00ns INFO [00008268] Mem Update: @0001FFA0 00000011->00000012
0001FFA4, D624B27A, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
66145.00ns INFO [00008268] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000012 00000001 80000001 80000001 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
66225.00ns INFO [00008278] C0: CP 0:000ED4 0000000000000ED4
66233.00ns INFO [00008279] C0: CP 0:000ED8 0000000000000ED8
66233.00ns INFO [00008279] GPR Update: R09=0000000000000012
66241.00ns INFO [00008280] CR Update: F0=9
66249.00ns INFO [00008281] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
66257.00ns INFO [00008282] C0: CP 0:000E50 1:000E54 0000000000000E50
66257.00ns INFO [00008282] GPR Update: R09=0000000000000012
66265.00ns INFO [00008283] C0: CP 0:000E58 1:000E5C 0000000000000E58
66265.00ns INFO [00008283] GPR Update: R09=0000000000000000
66265.00ns INFO [00008283] GPR Update: R10=0000000000000048
66273.00ns INFO [00008284] GPR Update: R09=00000000000013A8
66305.00ns INFO [00008288] C0: CP 0:000E60 0000000000000E60
66313.00ns INFO [00008289] GPR Update: R09=00000000FFFFFFFF
66321.00ns INFO [00008290] C0: CP 0:000E64 1:000E68 0000000000000E64
66329.00ns INFO [00008291] C0: CP 0:000E6C 1:000E70 0000000000000E6C
66329.00ns INFO [00008291] GPR Update: R09=0000000000000012
66337.00ns INFO [00008292] C0: CP 0:000E74 1:000E78 0000000000000E74
66337.00ns INFO [00008292] GPR Update: R09=0000000000000000
66337.00ns INFO [00008292] GPR Update: R10=0000000000000048
66345.00ns INFO [00008293] GPR Update: R09=0000000000001448
66353.00ns INFO [00008294] C0: CP 0:000E7C 0000000000000E7C
66361.00ns INFO [00008295] GPR Update: R09=00000000FFFFFFFF
66369.00ns INFO [00008296] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFD624B27A00000000000000000000000000000000 WIMG:0
66369.00ns INFO [00008296] C0: CP 0:000E80 0000000000000E80
66377.00ns INFO [00008297] C0: CP 0:000E84 0000000000000E84
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFD624B27A, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
66385.00ns INFO [00008298] Mem Update: @0001FFA8 80000001->FFFFFFFF
0001FFAC, D624B27A, 0000
0000
66385.00ns INFO [00008298] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000012 00000001 FFFFFFFF 80000001 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
66385.00ns INFO [00008298] C0: CP 0:000E88 0000000000000E88
66385.00ns INFO [00008298] GPR Update: R09=0000000000000001
66393.00ns INFO [00008299] C0: CP 0:000E8C 0000000000000E8C
66393.00ns INFO [00008299] CR Update: F0=5
66401.00ns INFO [00008300] ...tick...
66433.00ns INFO [00008304] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, D624B27AFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, D624B27A, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
66449.00ns INFO [00008306] Mem Update: @0001FFAC 80000001->FFFFFFFF
66449.00ns INFO [00008306] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000012 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
66465.00ns INFO [00008308] C0: CP 0:000E90 0000000000000E90
66473.00ns INFO [00008309] GPR Update: R10=00000000FFFFFFFF
66529.00ns INFO [00008316] C0: CP 0:000E94 0000000000000E94
66537.00ns INFO [00008317] C0: CP 0:000E98 0000000000000E98
66537.00ns INFO [00008317] GPR Update: R09=00000000FFFFFFFF
66545.00ns INFO [00008318] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
66545.00ns INFO [00008318] CR Update: F0=3
66553.00ns INFO [00008319] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
66553.00ns INFO [00008319] GPR Update: R09=0000000000000001
66593.00ns INFO [00008324] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001D624B27AFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
66609.00ns INFO [00008326] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, D624B27A, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
66689.00ns INFO [00008336] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
66697.00ns INFO [00008337] GPR Update: R09=0000000000000001
66697.00ns INFO [00008337] CR Update: F0=5
66705.00ns INFO [00008338] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
66713.00ns INFO [00008339] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
66713.00ns INFO [00008339] GPR Update: R09=0000000000000012
66721.00ns INFO [00008340] GPR Update: R09=0000000000000013
66753.00ns INFO [00008344] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000013D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000013D624B27AFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000013, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
19
66769.00ns INFO [00008346] Mem Update: @0001FFA0 00000012->00000013
0001FFA4, D624B27A, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
66769.00ns INFO [00008346] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000013 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
66849.00ns INFO [00008356] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
66857.00ns INFO [00008357] GPR Update: R09=0000000000000013
66857.00ns INFO [00008357] CR Update: F0=9
66865.00ns INFO [00008358] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
66873.00ns INFO [00008359] C0: CP 0:000E50 1:000E54 0000000000000E50
66873.00ns INFO [00008359] GPR Update: R09=0000000000000013
66881.00ns INFO [00008360] C0: CP 0:000E58 1:000E5C 0000000000000E58
66881.00ns INFO [00008360] GPR Update: R09=0000000000000000
66881.00ns INFO [00008360] GPR Update: R10=000000000000004C
66889.00ns INFO [00008361] GPR Update: R09=00000000000013AC
66929.00ns INFO [00008366] C0: CP 0:000E60 0000000000000E60
66937.00ns INFO [00008367] GPR Update: R09=0000000000000000
66945.00ns INFO [00008368] C0: CP 0:000E64 1:000E68 0000000000000E64
66953.00ns INFO [00008369] C0: CP 0:000E6C 1:000E70 0000000000000E6C
66953.00ns INFO [00008369] GPR Update: R09=0000000000000013
66961.00ns INFO [00008370] C0: CP 0:000E74 1:000E78 0000000000000E74
66961.00ns INFO [00008370] GPR Update: R09=0000000000000000
66961.00ns INFO [00008370] GPR Update: R10=000000000000004C
66969.00ns INFO [00008371] C0: CP 0:000E7C 0000000000000E7C
66969.00ns INFO [00008371] GPR Update: R09=000000000000144C
66977.00ns INFO [00008372] C0: CP 0:000E80 0000000000000E80
66977.00ns INFO [00008372] GPR Update: R09=0000000000000000
66985.00ns INFO [00008373] C0: CP 0:000E84 0000000000000E84
66993.00ns INFO [00008374] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000000000000D624B27A00000000000000000000000000000000 WIMG:0
66993.00ns INFO [00008374] C0: CP 0:000E88 0000000000000E88
66993.00ns INFO [00008374] GPR Update: R09=0000000000000001
67001.00ns INFO [00008375] C0: CP 0:000E8C 0000000000000E8C
67001.00ns INFO [00008375] CR Update: F0=5
0001FFA0
0001FFA0, FFFFFFFF0000000000000000D624B27A, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
67009.00ns INFO [00008376] Mem Update: @0001FFA8 FFFFFFFF->00000000
0001FFAC, D624B27A, 0000
0000
67009.00ns INFO [00008376] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000013 00000001 00000000 FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
67073.00ns INFO [00008384] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF000000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, D624B27AFFFFFFFF0000000000000000, 0000000000001111
0001FFA0, D624B27A, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, 00000000, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
0
67089.00ns INFO [00008386] Mem Update: @0001FFAC FFFFFFFF->00000000
67089.00ns INFO [00008386] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000013 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
67089.00ns INFO [00008386] C0: CP 0:000E90 0000000000000E90
67097.00ns INFO [00008387] GPR Update: R10=0000000000000000
67169.00ns INFO [00008396] C0: CP 0:000E94 1:000E98 0000000000000E94
67177.00ns INFO [00008397] GPR Update: R09=0000000000000000
67177.00ns INFO [00008397] CR Update: F0=3
67185.00ns INFO [00008398] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
67193.00ns INFO [00008399] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
67193.00ns INFO [00008399] GPR Update: R09=0000000000000001
67201.00ns INFO [00008400] ...tick...
67233.00ns INFO [00008404] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001D624B27AFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
67249.00ns INFO [00008406] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, D624B27A, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
67329.00ns INFO [00008416] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
67337.00ns INFO [00008417] GPR Update: R09=0000000000000001
67337.00ns INFO [00008417] CR Update: F0=5
67345.00ns INFO [00008418] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
67353.00ns INFO [00008419] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
67353.00ns INFO [00008419] GPR Update: R09=0000000000000013
67361.00ns INFO [00008420] GPR Update: R09=0000000000000014
67409.00ns INFO [00008426] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000014D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000014D624B27AFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000014, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
20
67425.00ns INFO [00008428] Mem Update: @0001FFA0 00000013->00000014
0001FFA4, D624B27A, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
67425.00ns INFO [00008428] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000014 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
67505.00ns INFO [00008438] C0: CP 0:000ED4 0000000000000ED4
67513.00ns INFO [00008439] C0: CP 0:000ED8 0000000000000ED8
67513.00ns INFO [00008439] GPR Update: R09=0000000000000014
67521.00ns INFO [00008440] CR Update: F0=9
67529.00ns INFO [00008441] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
67537.00ns INFO [00008442] C0: CP 0:000E50 1:000E54 0000000000000E50
67537.00ns INFO [00008442] GPR Update: R09=0000000000000014
67545.00ns INFO [00008443] C0: CP 0:000E58 1:000E5C 0000000000000E58
67545.00ns INFO [00008443] GPR Update: R09=0000000000000000
67545.00ns INFO [00008443] GPR Update: R10=0000000000000050
67553.00ns INFO [00008444] GPR Update: R09=00000000000013B0
67585.00ns INFO [00008448] C0: CP 0:000E60 0000000000000E60
67593.00ns INFO [00008449] GPR Update: R09=00000000FFFFFFFF
67601.00ns INFO [00008450] C0: CP 0:000E64 1:000E68 0000000000000E64
67609.00ns INFO [00008451] C0: CP 0:000E6C 1:000E70 0000000000000E6C
67609.00ns INFO [00008451] GPR Update: R09=0000000000000014
67617.00ns INFO [00008452] C0: CP 0:000E74 1:000E78 0000000000000E74
67617.00ns INFO [00008452] GPR Update: R09=0000000000000000
67617.00ns INFO [00008452] GPR Update: R10=0000000000000050
67625.00ns INFO [00008453] GPR Update: R09=0000000000001450
67633.00ns INFO [00008454] C0: CP 0:000E7C 0000000000000E7C
67641.00ns INFO [00008455] GPR Update: R09=00000000FFFFFFFF
67649.00ns INFO [00008456] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFD624B27A00000000000000000000000000000000 WIMG:0
67649.00ns INFO [00008456] C0: CP 0:000E80 0000000000000E80
67657.00ns INFO [00008457] C0: CP 0:000E84 0000000000000E84
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFD624B27A, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
67665.00ns INFO [00008458] Mem Update: @0001FFA8 00000000->FFFFFFFF
0001FFAC, D624B27A, 0000
0000
67665.00ns INFO [00008458] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000014 00000001 FFFFFFFF 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
67665.00ns INFO [00008458] C0: CP 0:000E88 0000000000000E88
67665.00ns INFO [00008458] GPR Update: R09=0000000000000001
67673.00ns INFO [00008459] C0: CP 0:000E8C 0000000000000E8C
67673.00ns INFO [00008459] CR Update: F0=5
67713.00ns INFO [00008464] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, D624B27AFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, D624B27A, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
67729.00ns INFO [00008466] Mem Update: @0001FFAC 00000000->FFFFFFFF
67729.00ns INFO [00008466] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000014 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
67745.00ns INFO [00008468] C0: CP 0:000E90 0000000000000E90
67753.00ns INFO [00008469] GPR Update: R10=00000000FFFFFFFF
67809.00ns INFO [00008476] C0: CP 0:000E94 0000000000000E94
67817.00ns INFO [00008477] C0: CP 0:000E98 0000000000000E98
67817.00ns INFO [00008477] GPR Update: R09=00000000FFFFFFFF
67825.00ns INFO [00008478] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
67825.00ns INFO [00008478] CR Update: F0=3
67833.00ns INFO [00008479] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
67833.00ns INFO [00008479] GPR Update: R09=0000000000000001
67873.00ns INFO [00008484] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001D624B27AFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
67889.00ns INFO [00008486] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, D624B27A, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
67969.00ns INFO [00008496] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
67977.00ns INFO [00008497] GPR Update: R09=0000000000000001
67977.00ns INFO [00008497] CR Update: F0=5
67985.00ns INFO [00008498] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
67993.00ns INFO [00008499] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
67993.00ns INFO [00008499] GPR Update: R09=0000000000000014
68001.00ns INFO [00008500] ...tick...
68001.00ns INFO [00008500] GPR Update: R09=0000000000000015
68033.00ns INFO [00008504] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000015D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000015D624B27AFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000015, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
21
68049.00ns INFO [00008506] Mem Update: @0001FFA0 00000014->00000015
0001FFA4, D624B27A, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
68049.00ns INFO [00008506] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000015 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
68129.00ns INFO [00008516] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
68137.00ns INFO [00008517] GPR Update: R09=0000000000000015
68137.00ns INFO [00008517] CR Update: F0=9
68145.00ns INFO [00008518] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
68153.00ns INFO [00008519] C0: CP 0:000E50 1:000E54 0000000000000E50
68153.00ns INFO [00008519] GPR Update: R09=0000000000000015
68161.00ns INFO [00008520] C0: CP 0:000E58 1:000E5C 0000000000000E58
68161.00ns INFO [00008520] GPR Update: R09=0000000000000000
68161.00ns INFO [00008520] GPR Update: R10=0000000000000054
68169.00ns INFO [00008521] GPR Update: R09=00000000000013B4
68209.00ns INFO [00008526] C0: CP 0:000E60 0000000000000E60
68217.00ns INFO [00008527] GPR Update: R09=00000000FFFFFFFF
68225.00ns INFO [00008528] C0: CP 0:000E64 1:000E68 0000000000000E64
68233.00ns INFO [00008529] C0: CP 0:000E6C 1:000E70 0000000000000E6C
68233.00ns INFO [00008529] GPR Update: R09=0000000000000015
68241.00ns INFO [00008530] C0: CP 0:000E74 1:000E78 0000000000000E74
68241.00ns INFO [00008530] GPR Update: R09=0000000000000000
68241.00ns INFO [00008530] GPR Update: R10=0000000000000054
68249.00ns INFO [00008531] C0: CP 0:000E7C 0000000000000E7C
68249.00ns INFO [00008531] GPR Update: R09=0000000000001454
68257.00ns INFO [00008532] C0: CP 0:000E80 0000000000000E80
68257.00ns INFO [00008532] GPR Update: R09=00000000FFFFFFFF
68265.00ns INFO [00008533] C0: CP 0:000E84 0000000000000E84
68273.00ns INFO [00008534] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFD624B27A00000000000000000000000000000000 WIMG:0
68273.00ns INFO [00008534] C0: CP 0:000E88 0000000000000E88
68273.00ns INFO [00008534] GPR Update: R09=0000000000000001
68281.00ns INFO [00008535] C0: CP 0:000E8C 0000000000000E8C
68281.00ns INFO [00008535] CR Update: F0=5
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFD624B27A, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
68289.00ns INFO [00008536] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
0001FFAC, D624B27A, 0000
0000
68353.00ns INFO [00008544] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, D624B27AFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, D624B27A, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
68369.00ns INFO [00008546] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
68369.00ns INFO [00008546] C0: CP 0:000E90 0000000000000E90
68377.00ns INFO [00008547] GPR Update: R10=00000000FFFFFFFF
68449.00ns INFO [00008556] C0: CP 0:000E94 1:000E98 0000000000000E94
68457.00ns INFO [00008557] GPR Update: R09=00000000FFFFFFFF
68457.00ns INFO [00008557] CR Update: F0=3
68465.00ns INFO [00008558] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
68473.00ns INFO [00008559] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
68473.00ns INFO [00008559] GPR Update: R09=0000000000000001
68513.00ns INFO [00008564] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001D624B27AFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
68529.00ns INFO [00008566] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, D624B27A, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
68609.00ns INFO [00008576] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
68617.00ns INFO [00008577] GPR Update: R09=0000000000000001
68617.00ns INFO [00008577] CR Update: F0=5
68625.00ns INFO [00008578] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
68633.00ns INFO [00008579] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
68633.00ns INFO [00008579] GPR Update: R09=0000000000000015
68641.00ns INFO [00008580] GPR Update: R09=0000000000000016
68689.00ns INFO [00008586] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000016D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000016D624B27AFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000016, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
22
68705.00ns INFO [00008588] Mem Update: @0001FFA0 00000015->00000016
0001FFA4, D624B27A, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
68705.00ns INFO [00008588] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000016 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
68785.00ns INFO [00008598] C0: CP 0:000ED4 0000000000000ED4
68793.00ns INFO [00008599] C0: CP 0:000ED8 0000000000000ED8
68793.00ns INFO [00008599] GPR Update: R09=0000000000000016
68801.00ns INFO [00008600] ...tick...
68801.00ns INFO [00008600] CR Update: F0=9
68809.00ns INFO [00008601] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
68817.00ns INFO [00008602] C0: CP 0:000E50 1:000E54 0000000000000E50
68817.00ns INFO [00008602] GPR Update: R09=0000000000000016
68825.00ns INFO [00008603] C0: CP 0:000E58 1:000E5C 0000000000000E58
68825.00ns INFO [00008603] GPR Update: R09=0000000000000000
68825.00ns INFO [00008603] GPR Update: R10=0000000000000058
68833.00ns INFO [00008604] GPR Update: R09=00000000000013B8
68865.00ns INFO [00008608] C0: CP 0:000E60 0000000000000E60
68873.00ns INFO [00008609] GPR Update: R09=00000000328A0CED
68881.00ns INFO [00008610] C0: CP 0:000E64 1:000E68 0000000000000E64
68889.00ns INFO [00008611] C0: CP 0:000E6C 1:000E70 0000000000000E6C
68889.00ns INFO [00008611] GPR Update: R09=0000000000000016
68897.00ns INFO [00008612] C0: CP 0:000E74 1:000E78 0000000000000E74
68897.00ns INFO [00008612] GPR Update: R09=0000000000000000
68897.00ns INFO [00008612] GPR Update: R10=0000000000000058
68905.00ns INFO [00008613] GPR Update: R09=0000000000001458
68913.00ns INFO [00008614] C0: CP 0:000E7C 0000000000000E7C
68921.00ns INFO [00008615] GPR Update: R09=00000000328A0CED
68929.00ns INFO [00008616] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000328A0CEDD624B27A00000000000000000000000000000000 WIMG:0
68929.00ns INFO [00008616] C0: CP 0:000E80 0000000000000E80
68937.00ns INFO [00008617] C0: CP 0:000E84 0000000000000E84
0001FFA0
0001FFA0, FFFFFFFF00000000328A0CEDD624B27A, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 328A0CED, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
847908077
68945.00ns INFO [00008618] Mem Update: @0001FFA8 FFFFFFFF->328A0CED
0001FFAC, D624B27A, 0000
0000
68945.00ns INFO [00008618] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000016 00000001 328A0CED FFFFFFFF 08675309 00000000 0001FFF8 00001350 ........2........gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
68945.00ns INFO [00008618] C0: CP 0:000E88 0000000000000E88
68945.00ns INFO [00008618] GPR Update: R09=0000000000000001
68953.00ns INFO [00008619] C0: CP 0:000E8C 0000000000000E8C
68953.00ns INFO [00008619] CR Update: F0=5
68993.00ns INFO [00008624] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000328A0CED00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, D624B27AFFFFFFFF00000000328A0CED, 0000000000001111
0001FFA0, D624B27A, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, 328A0CED, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
847908077
69009.00ns INFO [00008626] Mem Update: @0001FFAC FFFFFFFF->328A0CED
69009.00ns INFO [00008626] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000016 00000001 328A0CED 328A0CED 08675309 00000000 0001FFF8 00001350 ........2...2....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
69025.00ns INFO [00008628] C0: CP 0:000E90 0000000000000E90
69033.00ns INFO [00008629] GPR Update: R10=00000000328A0CED
69089.00ns INFO [00008636] C0: CP 0:000E94 0000000000000E94
69097.00ns INFO [00008637] C0: CP 0:000E98 0000000000000E98
69097.00ns INFO [00008637] GPR Update: R09=00000000328A0CED
69105.00ns INFO [00008638] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
69105.00ns INFO [00008638] CR Update: F0=3
69113.00ns INFO [00008639] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
69113.00ns INFO [00008639] GPR Update: R09=0000000000000001
69153.00ns INFO [00008644] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001D624B27AFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
69169.00ns INFO [00008646] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, D624B27A, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
69249.00ns INFO [00008656] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
69257.00ns INFO [00008657] GPR Update: R09=0000000000000001
69257.00ns INFO [00008657] CR Update: F0=5
69265.00ns INFO [00008658] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
69273.00ns INFO [00008659] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
69273.00ns INFO [00008659] GPR Update: R09=0000000000000016
69281.00ns INFO [00008660] GPR Update: R09=0000000000000017
69313.00ns INFO [00008664] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000017D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000017D624B27AFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000017, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
23
69329.00ns INFO [00008666] Mem Update: @0001FFA0 00000016->00000017
0001FFA4, D624B27A, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
69329.00ns INFO [00008666] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000017 00000001 328A0CED 328A0CED 08675309 00000000 0001FFF8 00001350 ........2...2....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
69409.00ns INFO [00008676] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
69417.00ns INFO [00008677] GPR Update: R09=0000000000000017
69417.00ns INFO [00008677] CR Update: F0=9
69425.00ns INFO [00008678] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
69433.00ns INFO [00008679] C0: CP 0:000E50 1:000E54 0000000000000E50
69433.00ns INFO [00008679] GPR Update: R09=0000000000000017
69441.00ns INFO [00008680] C0: CP 0:000E58 1:000E5C 0000000000000E58
69441.00ns INFO [00008680] GPR Update: R09=0000000000000000
69441.00ns INFO [00008680] GPR Update: R10=000000000000005C
69449.00ns INFO [00008681] GPR Update: R09=00000000000013BC
69489.00ns INFO [00008686] C0: CP 0:000E60 0000000000000E60
69497.00ns INFO [00008687] GPR Update: R09=00000000FFFFFFFF
69505.00ns INFO [00008688] C0: CP 0:000E64 1:000E68 0000000000000E64
69513.00ns INFO [00008689] C0: CP 0:000E6C 1:000E70 0000000000000E6C
69513.00ns INFO [00008689] GPR Update: R09=0000000000000017
69521.00ns INFO [00008690] C0: CP 0:000E74 1:000E78 0000000000000E74
69521.00ns INFO [00008690] GPR Update: R09=0000000000000000
69521.00ns INFO [00008690] GPR Update: R10=000000000000005C
69529.00ns INFO [00008691] C0: CP 0:000E7C 0000000000000E7C
69529.00ns INFO [00008691] GPR Update: R09=000000000000145C
69537.00ns INFO [00008692] C0: CP 0:000E80 0000000000000E80
69537.00ns INFO [00008692] GPR Update: R09=00000000FFFFFFFF
69545.00ns INFO [00008693] C0: CP 0:000E84 0000000000000E84
69553.00ns INFO [00008694] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFD624B27A00000000000000000000000000000000 WIMG:0
69553.00ns INFO [00008694] C0: CP 0:000E88 0000000000000E88
69553.00ns INFO [00008694] GPR Update: R09=0000000000000001
69561.00ns INFO [00008695] C0: CP 0:000E8C 0000000000000E8C
69561.00ns INFO [00008695] CR Update: F0=5
0001FFA0
0001FFA0, FFFFFFFF00000000FFFFFFFFD624B27A, 0000000011110000
0001FFA0, FFFFFFFF, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
69569.00ns INFO [00008696] Mem Update: @0001FFA8 328A0CED->FFFFFFFF
0001FFAC, D624B27A, 0000
0000
69569.00ns INFO [00008696] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000017 00000001 FFFFFFFF 328A0CED 08675309 00000000 0001FFF8 00001350 ............2....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
69601.00ns INFO [00008700] ...tick...
69633.00ns INFO [00008704] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, D624B27AFFFFFFFF00000000FFFFFFFF, 0000000000001111
0001FFA0, D624B27A, 0000
0000
0001FFA4, FFFFFFFF, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
69649.00ns INFO [00008706] Mem Update: @0001FFAC 328A0CED->FFFFFFFF
69649.00ns INFO [00008706] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000017 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
69649.00ns INFO [00008706] C0: CP 0:000E90 0000000000000E90
69657.00ns INFO [00008707] GPR Update: R10=00000000FFFFFFFF
69729.00ns INFO [00008716] C0: CP 0:000E94 1:000E98 0000000000000E94
69737.00ns INFO [00008717] GPR Update: R09=00000000FFFFFFFF
69737.00ns INFO [00008717] CR Update: F0=3
69745.00ns INFO [00008718] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
69753.00ns INFO [00008719] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
69753.00ns INFO [00008719] GPR Update: R09=0000000000000001
69793.00ns INFO [00008724] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001D624B27AFFFFFFFF, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
69809.00ns INFO [00008726] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, D624B27A, 0000
0000
0001FFAC, FFFFFFFF, 0000
0000
69889.00ns INFO [00008736] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
69897.00ns INFO [00008737] GPR Update: R09=0000000000000001
69897.00ns INFO [00008737] CR Update: F0=5
69905.00ns INFO [00008738] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
69913.00ns INFO [00008739] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
69913.00ns INFO [00008739] GPR Update: R09=0000000000000017
69921.00ns INFO [00008740] GPR Update: R09=0000000000000018
69969.00ns INFO [00008746] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000018D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000018D624B27AFFFFFFFF00000000, 1111000000000000
0001FFA0, 00000018, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
24
69985.00ns INFO [00008748] Mem Update: @0001FFA0 00000017->00000018
0001FFA4, D624B27A, 0000
0000
0001FFA8, FFFFFFFF, 0000
0000
0001FFAC, 00000000, 0000
0000
69985.00ns INFO [00008748] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000018 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
70065.00ns INFO [00008758] C0: CP 0:000ED4 0000000000000ED4
70073.00ns INFO [00008759] C0: CP 0:000ED8 0000000000000ED8
70073.00ns INFO [00008759] GPR Update: R09=0000000000000018
70081.00ns INFO [00008760] CR Update: F0=9
70089.00ns INFO [00008761] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
70097.00ns INFO [00008762] C0: CP 0:000E50 1:000E54 0000000000000E50
70097.00ns INFO [00008762] GPR Update: R09=0000000000000018
70105.00ns INFO [00008763] T0 LOAD 000013C0 tag=00 len=4 WIMG:0
70105.00ns INFO [00008763] C0: CP 0:000E58 1:000E5C 0000000000000E58
70105.00ns INFO [00008763] GPR Update: R09=0000000000000000
70105.00ns INFO [00008763] GPR Update: R10=0000000000000060
70113.00ns INFO [00008764] GPR Update: R09=00000000000013C0
70201.00ns INFO [00008775] C0: CP 0:000E60 0000000000000E60
70209.00ns INFO [00008776] GPR Update: R09=00000000FFFFFFFF
70233.00ns INFO [00008779] C0: CP 0:000E64 1:000E68 0000000000000E64
70241.00ns INFO [00008780] C0: CP 0:000E6C 1:000E70 0000000000000E6C
70241.00ns INFO [00008780] GPR Update: R09=0000000000000018
70249.00ns INFO [00008781] C0: CP 0:000E74 1:000E78 0000000000000E74
70249.00ns INFO [00008781] GPR Update: R09=0000000000000000
70249.00ns INFO [00008781] GPR Update: R10=0000000000000060
70257.00ns INFO [00008782] C0: CP 0:000E7C 1:000E80 0000000000000E7C
70257.00ns INFO [00008782] GPR Update: R09=0000000000001460
70265.00ns INFO [00008783] C0: CP 0:000E84 1:000E88 0000000000000E84
70265.00ns INFO [00008783] GPR Update: R09=00000000FFFFFFFF
70273.00ns INFO [00008784] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
70273.00ns INFO [00008784] C0: CP 0:000E8C 0000000000000E8C
70273.00ns INFO [00008784] GPR Update: R09=0000000000000001
70273.00ns INFO [00008784] CR Update: F0=5
0001FFA0
0001FFA0, 6000000000000000FFFFFFFFCD75F313, 0000000011110000
0001FFA0, 60000000, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
70289.00ns INFO [00008786] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
0001FFAC, CD75F313, 0000
0000
70345.00ns INFO [00008793] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, CD75F3136000000000000000FFFFFFFF, 0000000000001111
0001FFA0, CD75F313, 0000
0000
0001FFA4, 60000000, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
70361.00ns INFO [00008795] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
70377.00ns INFO [00008797] C0: CP 0:000E90 0000000000000E90
70385.00ns INFO [00008798] GPR Update: R10=00000000FFFFFFFF
70401.00ns INFO [00008800] ...tick...
70441.00ns INFO [00008805] C0: CP 0:000E94 0000000000000E94
70449.00ns INFO [00008806] C0: CP 0:000E98 0000000000000E98
70449.00ns INFO [00008806] GPR Update: R09=00000000FFFFFFFF
70457.00ns INFO [00008807] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
70457.00ns INFO [00008807] CR Update: F0=3
70465.00ns INFO [00008808] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
70465.00ns INFO [00008808] GPR Update: R09=0000000000000001
70505.00ns INFO [00008813] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001CD75F31360000000, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
70521.00ns INFO [00008815] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, CD75F313, 0000
0000
0001FFAC, 60000000, 0000
0000
70601.00ns INFO [00008825] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
70609.00ns INFO [00008826] GPR Update: R09=0000000000000001
70609.00ns INFO [00008826] CR Update: F0=5
70617.00ns INFO [00008827] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
70625.00ns INFO [00008828] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
70625.00ns INFO [00008828] GPR Update: R09=0000000000000018
70633.00ns INFO [00008829] GPR Update: R09=0000000000000019
70665.00ns INFO [00008833] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000019CD75F313600000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000019CD75F3136000000000000000, 1111000000000000
0001FFA0, 00000019, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
25
70681.00ns INFO [00008835] Mem Update: @0001FFA0 00000018->00000019
0001FFA4, CD75F313, 0000
0000
0001FFA8, 60000000, 0000
0000
0001FFAC, 00000000, 0000
0000
70681.00ns INFO [00008835] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000019 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
70761.00ns INFO [00008845] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
70769.00ns INFO [00008846] GPR Update: R09=0000000000000019
70769.00ns INFO [00008846] CR Update: F0=9
70777.00ns INFO [00008847] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
70785.00ns INFO [00008848] C0: CP 0:000E50 1:000E54 0000000000000E50
70785.00ns INFO [00008848] GPR Update: R09=0000000000000019
70793.00ns INFO [00008849] C0: CP 0:000E58 1:000E5C 0000000000000E58
70793.00ns INFO [00008849] GPR Update: R09=0000000000000000
70793.00ns INFO [00008849] GPR Update: R10=0000000000000064
70801.00ns INFO [00008850] GPR Update: R09=00000000000013C4
70841.00ns INFO [00008855] C0: CP 0:000E60 0000000000000E60
70849.00ns INFO [00008856] GPR Update: R09=00000000AF224C19
70857.00ns INFO [00008857] C0: CP 0:000E64 1:000E68 0000000000000E64
70865.00ns INFO [00008858] C0: CP 0:000E6C 1:000E70 0000000000000E6C
70865.00ns INFO [00008858] GPR Update: R09=0000000000000019
70873.00ns INFO [00008859] C0: CP 0:000E74 1:000E78 0000000000000E74
70873.00ns INFO [00008859] GPR Update: R09=0000000000000000
70873.00ns INFO [00008859] GPR Update: R10=0000000000000064
70881.00ns INFO [00008860] C0: CP 0:000E7C 0000000000000E7C
70881.00ns INFO [00008860] GPR Update: R09=0000000000001464
70889.00ns INFO [00008861] C0: CP 0:000E80 0000000000000E80
70889.00ns INFO [00008861] GPR Update: R09=00000000AF224C19
70897.00ns INFO [00008862] C0: CP 0:000E84 0000000000000E84
70905.00ns INFO [00008863] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000AF224C19CD75F31300000000000000000000000000000000 WIMG:0
70905.00ns INFO [00008863] C0: CP 0:000E88 0000000000000E88
70905.00ns INFO [00008863] GPR Update: R09=0000000000000001
70913.00ns INFO [00008864] C0: CP 0:000E8C 0000000000000E8C
70913.00ns INFO [00008864] CR Update: F0=5
0001FFA0
0001FFA0, 6000000000000000AF224C19CD75F313, 0000000011110000
0001FFA0, 60000000, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, AF224C19, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2938260505
70921.00ns INFO [00008865] Mem Update: @0001FFA8 FFFFFFFF->AF224C19
0001FFAC, CD75F313, 0000
0000
70921.00ns INFO [00008865] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000019 00000001 AF224C19 FFFFFFFF 08675309 00000000 0001FFF8 00001350 ........."L......gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
70985.00ns INFO [00008873] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000AF224C1900000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, CD75F3136000000000000000AF224C19, 0000000000001111
0001FFA0, CD75F313, 0000
0000
0001FFA4, 60000000, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, AF224C19, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2938260505
71001.00ns INFO [00008875] Mem Update: @0001FFAC FFFFFFFF->AF224C19
71001.00ns INFO [00008875] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000019 00000001 AF224C19 AF224C19 08675309 00000000 0001FFF8 00001350 ........."L.."L..gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
71001.00ns INFO [00008875] C0: CP 0:000E90 0000000000000E90
71009.00ns INFO [00008876] GPR Update: R10=00000000AF224C19
71081.00ns INFO [00008885] C0: CP 0:000E94 1:000E98 0000000000000E94
71089.00ns INFO [00008886] GPR Update: R09=00000000AF224C19
71089.00ns INFO [00008886] CR Update: F0=3
71097.00ns INFO [00008887] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
71105.00ns INFO [00008888] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
71105.00ns INFO [00008888] GPR Update: R09=0000000000000001
71145.00ns INFO [00008893] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001CD75F31360000000, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
71161.00ns INFO [00008895] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, CD75F313, 0000
0000
0001FFAC, 60000000, 0000
0000
71201.00ns INFO [00008900] ...tick...
71241.00ns INFO [00008905] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
71249.00ns INFO [00008906] GPR Update: R09=0000000000000001
71249.00ns INFO [00008906] CR Update: F0=5
71257.00ns INFO [00008907] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
71265.00ns INFO [00008908] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
71265.00ns INFO [00008908] GPR Update: R09=0000000000000019
71273.00ns INFO [00008909] GPR Update: R09=000000000000001A
71321.00ns INFO [00008915] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001ACD75F313600000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000001ACD75F3136000000000000000, 1111000000000000
0001FFA0, 0000001A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
26
71337.00ns INFO [00008917] Mem Update: @0001FFA0 00000019->0000001A
0001FFA4, CD75F313, 0000
0000
0001FFA8, 60000000, 0000
0000
0001FFAC, 00000000, 0000
0000
71337.00ns INFO [00008917] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001A 00000001 AF224C19 AF224C19 08675309 00000000 0001FFF8 00001350 ........."L.."L..gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
71417.00ns INFO [00008927] C0: CP 0:000ED4 0000000000000ED4
71425.00ns INFO [00008928] C0: CP 0:000ED8 0000000000000ED8
71425.00ns INFO [00008928] GPR Update: R09=000000000000001A
71433.00ns INFO [00008929] CR Update: F0=9
71441.00ns INFO [00008930] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
71449.00ns INFO [00008931] C0: CP 0:000E50 1:000E54 0000000000000E50
71449.00ns INFO [00008931] GPR Update: R09=000000000000001A
71457.00ns INFO [00008932] C0: CP 0:000E58 1:000E5C 0000000000000E58
71457.00ns INFO [00008932] GPR Update: R09=0000000000000000
71457.00ns INFO [00008932] GPR Update: R10=0000000000000068
71465.00ns INFO [00008933] GPR Update: R09=00000000000013C8
71497.00ns INFO [00008937] C0: CP 0:000E60 0000000000000E60
71505.00ns INFO [00008938] GPR Update: R09=00000000FFFFFFFF
71513.00ns INFO [00008939] C0: CP 0:000E64 1:000E68 0000000000000E64
71521.00ns INFO [00008940] C0: CP 0:000E6C 1:000E70 0000000000000E6C
71521.00ns INFO [00008940] GPR Update: R09=000000000000001A
71529.00ns INFO [00008941] C0: CP 0:000E74 1:000E78 0000000000000E74
71529.00ns INFO [00008941] GPR Update: R09=0000000000000000
71529.00ns INFO [00008941] GPR Update: R10=0000000000000068
71537.00ns INFO [00008942] GPR Update: R09=0000000000001468
71545.00ns INFO [00008943] C0: CP 0:000E7C 0000000000000E7C
71553.00ns INFO [00008944] GPR Update: R09=00000000FFFFFFFF
71561.00ns INFO [00008945] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
71561.00ns INFO [00008945] C0: CP 0:000E80 0000000000000E80
71569.00ns INFO [00008946] C0: CP 0:000E84 0000000000000E84
0001FFA0
0001FFA0, 6000000000000000FFFFFFFFCD75F313, 0000000011110000
0001FFA0, 60000000, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
71577.00ns INFO [00008947] Mem Update: @0001FFA8 AF224C19->FFFFFFFF
0001FFAC, CD75F313, 0000
0000
71577.00ns INFO [00008947] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001A 00000001 FFFFFFFF AF224C19 08675309 00000000 0001FFF8 00001350 ............."L..gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
71577.00ns INFO [00008947] C0: CP 0:000E88 0000000000000E88
71577.00ns INFO [00008947] GPR Update: R09=0000000000000001
71585.00ns INFO [00008948] C0: CP 0:000E8C 0000000000000E8C
71585.00ns INFO [00008948] CR Update: F0=5
71625.00ns INFO [00008953] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, CD75F3136000000000000000FFFFFFFF, 0000000000001111
0001FFA0, CD75F313, 0000
0000
0001FFA4, 60000000, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
71641.00ns INFO [00008955] Mem Update: @0001FFAC AF224C19->FFFFFFFF
71641.00ns INFO [00008955] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001A 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
71657.00ns INFO [00008957] C0: CP 0:000E90 0000000000000E90
71665.00ns INFO [00008958] GPR Update: R10=00000000FFFFFFFF
71721.00ns INFO [00008965] C0: CP 0:000E94 0000000000000E94
71729.00ns INFO [00008966] C0: CP 0:000E98 0000000000000E98
71729.00ns INFO [00008966] GPR Update: R09=00000000FFFFFFFF
71737.00ns INFO [00008967] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
71737.00ns INFO [00008967] CR Update: F0=3
71745.00ns INFO [00008968] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
71745.00ns INFO [00008968] GPR Update: R09=0000000000000001
71785.00ns INFO [00008973] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001CD75F31360000000, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
71801.00ns INFO [00008975] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, CD75F313, 0000
0000
0001FFAC, 60000000, 0000
0000
71881.00ns INFO [00008985] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
71889.00ns INFO [00008986] GPR Update: R09=0000000000000001
71889.00ns INFO [00008986] CR Update: F0=5
71897.00ns INFO [00008987] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
71905.00ns INFO [00008988] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
71905.00ns INFO [00008988] GPR Update: R09=000000000000001A
71913.00ns INFO [00008989] GPR Update: R09=000000000000001B
71945.00ns INFO [00008993] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001BCD75F313600000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000001BCD75F3136000000000000000, 1111000000000000
0001FFA0, 0000001B, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
27
71961.00ns INFO [00008995] Mem Update: @0001FFA0 0000001A->0000001B
0001FFA4, CD75F313, 0000
0000
0001FFA8, 60000000, 0000
0000
0001FFAC, 00000000, 0000
0000
71961.00ns INFO [00008995] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001B 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
72001.00ns INFO [00009000] ...tick...
72041.00ns INFO [00009005] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
72049.00ns INFO [00009006] GPR Update: R09=000000000000001B
72049.00ns INFO [00009006] CR Update: F0=9
72057.00ns INFO [00009007] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
72065.00ns INFO [00009008] C0: CP 0:000E50 1:000E54 0000000000000E50
72065.00ns INFO [00009008] GPR Update: R09=000000000000001B
72073.00ns INFO [00009009] C0: CP 0:000E58 1:000E5C 0000000000000E58
72073.00ns INFO [00009009] GPR Update: R09=0000000000000000
72073.00ns INFO [00009009] GPR Update: R10=000000000000006C
72081.00ns INFO [00009010] GPR Update: R09=00000000000013CC
72121.00ns INFO [00009015] C0: CP 0:000E60 0000000000000E60
72129.00ns INFO [00009016] GPR Update: R09=00000000FFFFFFFF
72137.00ns INFO [00009017] C0: CP 0:000E64 1:000E68 0000000000000E64
72145.00ns INFO [00009018] C0: CP 0:000E6C 1:000E70 0000000000000E6C
72145.00ns INFO [00009018] GPR Update: R09=000000000000001B
72153.00ns INFO [00009019] C0: CP 0:000E74 1:000E78 0000000000000E74
72153.00ns INFO [00009019] GPR Update: R09=0000000000000000
72153.00ns INFO [00009019] GPR Update: R10=000000000000006C
72161.00ns INFO [00009020] C0: CP 0:000E7C 0000000000000E7C
72161.00ns INFO [00009020] GPR Update: R09=000000000000146C
72169.00ns INFO [00009021] C0: CP 0:000E80 0000000000000E80
72169.00ns INFO [00009021] GPR Update: R09=00000000FFFFFFFF
72177.00ns INFO [00009022] C0: CP 0:000E84 0000000000000E84
72185.00ns INFO [00009023] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
72185.00ns INFO [00009023] C0: CP 0:000E88 0000000000000E88
72185.00ns INFO [00009023] GPR Update: R09=0000000000000001
72193.00ns INFO [00009024] C0: CP 0:000E8C 0000000000000E8C
72193.00ns INFO [00009024] CR Update: F0=5
0001FFA0
0001FFA0, 6000000000000000FFFFFFFFCD75F313, 0000000011110000
0001FFA0, 60000000, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
72201.00ns INFO [00009025] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
0001FFAC, CD75F313, 0000
0000
72265.00ns INFO [00009033] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, CD75F3136000000000000000FFFFFFFF, 0000000000001111
0001FFA0, CD75F313, 0000
0000
0001FFA4, 60000000, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
72281.00ns INFO [00009035] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
72281.00ns INFO [00009035] C0: CP 0:000E90 0000000000000E90
72289.00ns INFO [00009036] GPR Update: R10=00000000FFFFFFFF
72361.00ns INFO [00009045] C0: CP 0:000E94 1:000E98 0000000000000E94
72369.00ns INFO [00009046] GPR Update: R09=00000000FFFFFFFF
72369.00ns INFO [00009046] CR Update: F0=3
72377.00ns INFO [00009047] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
72385.00ns INFO [00009048] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
72385.00ns INFO [00009048] GPR Update: R09=0000000000000001
72425.00ns INFO [00009053] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001CD75F31360000000, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
72441.00ns INFO [00009055] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, CD75F313, 0000
0000
0001FFAC, 60000000, 0000
0000
72521.00ns INFO [00009065] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
72529.00ns INFO [00009066] GPR Update: R09=0000000000000001
72529.00ns INFO [00009066] CR Update: F0=5
72537.00ns INFO [00009067] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
72545.00ns INFO [00009068] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
72545.00ns INFO [00009068] GPR Update: R09=000000000000001B
72553.00ns INFO [00009069] GPR Update: R09=000000000000001C
72601.00ns INFO [00009075] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001CCD75F313600000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000001CCD75F3136000000000000000, 1111000000000000
0001FFA0, 0000001C, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
28
72617.00ns INFO [00009077] Mem Update: @0001FFA0 0000001B->0000001C
0001FFA4, CD75F313, 0000
0000
0001FFA8, 60000000, 0000
0000
0001FFAC, 00000000, 0000
0000
72617.00ns INFO [00009077] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001C 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
72697.00ns INFO [00009087] C0: CP 0:000ED4 0000000000000ED4
72705.00ns INFO [00009088] C0: CP 0:000ED8 0000000000000ED8
72705.00ns INFO [00009088] GPR Update: R09=000000000000001C
72713.00ns INFO [00009089] CR Update: F0=9
72721.00ns INFO [00009090] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
72729.00ns INFO [00009091] C0: CP 0:000E50 1:000E54 0000000000000E50
72729.00ns INFO [00009091] GPR Update: R09=000000000000001C
72737.00ns INFO [00009092] C0: CP 0:000E58 1:000E5C 0000000000000E58
72737.00ns INFO [00009092] GPR Update: R09=0000000000000000
72737.00ns INFO [00009092] GPR Update: R10=0000000000000070
72745.00ns INFO [00009093] GPR Update: R09=00000000000013D0
72777.00ns INFO [00009097] C0: CP 0:000E60 0000000000000E60
72785.00ns INFO [00009098] GPR Update: R09=00000000D624B27A
72793.00ns INFO [00009099] C0: CP 0:000E64 1:000E68 0000000000000E64
72801.00ns INFO [00009100] ...tick...
72801.00ns INFO [00009100] C0: CP 0:000E6C 1:000E70 0000000000000E6C
72801.00ns INFO [00009100] GPR Update: R09=000000000000001C
72809.00ns INFO [00009101] C0: CP 0:000E74 1:000E78 0000000000000E74
72809.00ns INFO [00009101] GPR Update: R09=0000000000000000
72809.00ns INFO [00009101] GPR Update: R10=0000000000000070
72817.00ns INFO [00009102] GPR Update: R09=0000000000001470
72825.00ns INFO [00009103] C0: CP 0:000E7C 0000000000000E7C
72833.00ns INFO [00009104] GPR Update: R09=00000000D624B27A
72841.00ns INFO [00009105] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000D624B27ACD75F31300000000000000000000000000000000 WIMG:0
72841.00ns INFO [00009105] C0: CP 0:000E80 0000000000000E80
72849.00ns INFO [00009106] C0: CP 0:000E84 0000000000000E84
0001FFA0
0001FFA0, 6000000000000000D624B27ACD75F313, 0000000011110000
0001FFA0, 60000000, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, D624B27A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3592729210
72857.00ns INFO [00009107] Mem Update: @0001FFA8 FFFFFFFF->D624B27A
0001FFAC, CD75F313, 0000
0000
72857.00ns INFO [00009107] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001C 00000001 D624B27A FFFFFFFF 08675309 00000000 0001FFF8 00001350 .........$.z.....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
72857.00ns INFO [00009107] C0: CP 0:000E88 0000000000000E88
72857.00ns INFO [00009107] GPR Update: R09=0000000000000001
72865.00ns INFO [00009108] C0: CP 0:000E8C 0000000000000E8C
72865.00ns INFO [00009108] CR Update: F0=5
72905.00ns INFO [00009113] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000D624B27A00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, CD75F3136000000000000000D624B27A, 0000000000001111
0001FFA0, CD75F313, 0000
0000
0001FFA4, 60000000, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, D624B27A, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
3592729210
72921.00ns INFO [00009115] Mem Update: @0001FFAC FFFFFFFF->D624B27A
72921.00ns INFO [00009115] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001C 00000001 D624B27A D624B27A 08675309 00000000 0001FFF8 00001350 .........$.z.$.z.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
72937.00ns INFO [00009117] C0: CP 0:000E90 0000000000000E90
72945.00ns INFO [00009118] GPR Update: R10=00000000D624B27A
73001.00ns INFO [00009125] C0: CP 0:000E94 0000000000000E94
73009.00ns INFO [00009126] C0: CP 0:000E98 0000000000000E98
73009.00ns INFO [00009126] GPR Update: R09=00000000D624B27A
73017.00ns INFO [00009127] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
73017.00ns INFO [00009127] CR Update: F0=3
73025.00ns INFO [00009128] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
73025.00ns INFO [00009128] GPR Update: R09=0000000000000001
73065.00ns INFO [00009133] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001CD75F31360000000, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
73081.00ns INFO [00009135] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, CD75F313, 0000
0000
0001FFAC, 60000000, 0000
0000
73161.00ns INFO [00009145] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
73169.00ns INFO [00009146] GPR Update: R09=0000000000000001
73169.00ns INFO [00009146] CR Update: F0=5
73177.00ns INFO [00009147] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
73185.00ns INFO [00009148] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
73185.00ns INFO [00009148] GPR Update: R09=000000000000001C
73193.00ns INFO [00009149] GPR Update: R09=000000000000001D
73225.00ns INFO [00009153] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001DCD75F313600000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000001DCD75F3136000000000000000, 1111000000000000
0001FFA0, 0000001D, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
29
73241.00ns INFO [00009155] Mem Update: @0001FFA0 0000001C->0000001D
0001FFA4, CD75F313, 0000
0000
0001FFA8, 60000000, 0000
0000
0001FFAC, 00000000, 0000
0000
73241.00ns INFO [00009155] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001D 00000001 D624B27A D624B27A 08675309 00000000 0001FFF8 00001350 .........$.z.$.z.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
73321.00ns INFO [00009165] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
73329.00ns INFO [00009166] GPR Update: R09=000000000000001D
73329.00ns INFO [00009166] CR Update: F0=9
73337.00ns INFO [00009167] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
73345.00ns INFO [00009168] C0: CP 0:000E50 1:000E54 0000000000000E50
73345.00ns INFO [00009168] GPR Update: R09=000000000000001D
73353.00ns INFO [00009169] C0: CP 0:000E58 1:000E5C 0000000000000E58
73353.00ns INFO [00009169] GPR Update: R09=0000000000000000
73353.00ns INFO [00009169] GPR Update: R10=0000000000000074
73361.00ns INFO [00009170] GPR Update: R09=00000000000013D4
73401.00ns INFO [00009175] C0: CP 0:000E60 0000000000000E60
73409.00ns INFO [00009176] GPR Update: R09=00000000FFFFFFFF
73417.00ns INFO [00009177] C0: CP 0:000E64 1:000E68 0000000000000E64
73425.00ns INFO [00009178] C0: CP 0:000E6C 1:000E70 0000000000000E6C
73425.00ns INFO [00009178] GPR Update: R09=000000000000001D
73433.00ns INFO [00009179] C0: CP 0:000E74 1:000E78 0000000000000E74
73433.00ns INFO [00009179] GPR Update: R09=0000000000000000
73433.00ns INFO [00009179] GPR Update: R10=0000000000000074
73441.00ns INFO [00009180] C0: CP 0:000E7C 0000000000000E7C
73441.00ns INFO [00009180] GPR Update: R09=0000000000001474
73449.00ns INFO [00009181] C0: CP 0:000E80 0000000000000E80
73449.00ns INFO [00009181] GPR Update: R09=00000000FFFFFFFF
73457.00ns INFO [00009182] C0: CP 0:000E84 0000000000000E84
73465.00ns INFO [00009183] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
73465.00ns INFO [00009183] C0: CP 0:000E88 0000000000000E88
73465.00ns INFO [00009183] GPR Update: R09=0000000000000001
73473.00ns INFO [00009184] C0: CP 0:000E8C 0000000000000E8C
73473.00ns INFO [00009184] CR Update: F0=5
0001FFA0
0001FFA0, 6000000000000000FFFFFFFFCD75F313, 0000000011110000
0001FFA0, 60000000, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
73481.00ns INFO [00009185] Mem Update: @0001FFA8 D624B27A->FFFFFFFF
0001FFAC, CD75F313, 0000
0000
73481.00ns INFO [00009185] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001D 00000001 FFFFFFFF D624B27A 08675309 00000000 0001FFF8 00001350 .............$.z.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
73545.00ns INFO [00009193] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, CD75F3136000000000000000FFFFFFFF, 0000000000001111
0001FFA0, CD75F313, 0000
0000
0001FFA4, 60000000, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
73561.00ns INFO [00009195] Mem Update: @0001FFAC D624B27A->FFFFFFFF
73561.00ns INFO [00009195] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001D 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
73561.00ns INFO [00009195] C0: CP 0:000E90 0000000000000E90
73569.00ns INFO [00009196] GPR Update: R10=00000000FFFFFFFF
73601.00ns INFO [00009200] ...tick...
73641.00ns INFO [00009205] C0: CP 0:000E94 1:000E98 0000000000000E94
73649.00ns INFO [00009206] GPR Update: R09=00000000FFFFFFFF
73649.00ns INFO [00009206] CR Update: F0=3
73657.00ns INFO [00009207] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
73665.00ns INFO [00009208] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
73665.00ns INFO [00009208] GPR Update: R09=0000000000000001
73705.00ns INFO [00009213] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001CD75F31360000000, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
73721.00ns INFO [00009215] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, CD75F313, 0000
0000
0001FFAC, 60000000, 0000
0000
73801.00ns INFO [00009225] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
73809.00ns INFO [00009226] GPR Update: R09=0000000000000001
73809.00ns INFO [00009226] CR Update: F0=5
73817.00ns INFO [00009227] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
73825.00ns INFO [00009228] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
73825.00ns INFO [00009228] GPR Update: R09=000000000000001D
73833.00ns INFO [00009229] GPR Update: R09=000000000000001E
73881.00ns INFO [00009235] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001ECD75F313600000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000001ECD75F3136000000000000000, 1111000000000000
0001FFA0, 0000001E, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
30
73897.00ns INFO [00009237] Mem Update: @0001FFA0 0000001D->0000001E
0001FFA4, CD75F313, 0000
0000
0001FFA8, 60000000, 0000
0000
0001FFAC, 00000000, 0000
0000
73897.00ns INFO [00009237] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001E 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
73977.00ns INFO [00009247] C0: CP 0:000ED4 0000000000000ED4
73985.00ns INFO [00009248] C0: CP 0:000ED8 0000000000000ED8
73985.00ns INFO [00009248] GPR Update: R09=000000000000001E
73993.00ns INFO [00009249] CR Update: F0=9
74001.00ns INFO [00009250] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
74009.00ns INFO [00009251] C0: CP 0:000E50 1:000E54 0000000000000E50
74009.00ns INFO [00009251] GPR Update: R09=000000000000001E
74017.00ns INFO [00009252] C0: CP 0:000E58 1:000E5C 0000000000000E58
74017.00ns INFO [00009252] GPR Update: R09=0000000000000000
74017.00ns INFO [00009252] GPR Update: R10=0000000000000078
74025.00ns INFO [00009253] GPR Update: R09=00000000000013D8
74057.00ns INFO [00009257] C0: CP 0:000E60 0000000000000E60
74065.00ns INFO [00009258] GPR Update: R09=00000000FFFFFFFF
74073.00ns INFO [00009259] C0: CP 0:000E64 1:000E68 0000000000000E64
74081.00ns INFO [00009260] C0: CP 0:000E6C 1:000E70 0000000000000E6C
74081.00ns INFO [00009260] GPR Update: R09=000000000000001E
74089.00ns INFO [00009261] C0: CP 0:000E74 1:000E78 0000000000000E74
74089.00ns INFO [00009261] GPR Update: R09=0000000000000000
74089.00ns INFO [00009261] GPR Update: R10=0000000000000078
74097.00ns INFO [00009262] GPR Update: R09=0000000000001478
74105.00ns INFO [00009263] C0: CP 0:000E7C 0000000000000E7C
74113.00ns INFO [00009264] GPR Update: R09=00000000FFFFFFFF
74121.00ns INFO [00009265] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
74121.00ns INFO [00009265] C0: CP 0:000E80 0000000000000E80
74129.00ns INFO [00009266] C0: CP 0:000E84 0000000000000E84
0001FFA0
0001FFA0, 6000000000000000FFFFFFFFCD75F313, 0000000011110000
0001FFA0, 60000000, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
74137.00ns INFO [00009267] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
0001FFAC, CD75F313, 0000
0000
74137.00ns INFO [00009267] C0: CP 0:000E88 0000000000000E88
74137.00ns INFO [00009267] GPR Update: R09=0000000000000001
74145.00ns INFO [00009268] C0: CP 0:000E8C 0000000000000E8C
74145.00ns INFO [00009268] CR Update: F0=5
74185.00ns INFO [00009273] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, CD75F3136000000000000000FFFFFFFF, 0000000000001111
0001FFA0, CD75F313, 0000
0000
0001FFA4, 60000000, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
74201.00ns INFO [00009275] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
74217.00ns INFO [00009277] C0: CP 0:000E90 0000000000000E90
74225.00ns INFO [00009278] GPR Update: R10=00000000FFFFFFFF
74281.00ns INFO [00009285] C0: CP 0:000E94 0000000000000E94
74289.00ns INFO [00009286] C0: CP 0:000E98 0000000000000E98
74289.00ns INFO [00009286] GPR Update: R09=00000000FFFFFFFF
74297.00ns INFO [00009287] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
74297.00ns INFO [00009287] CR Update: F0=3
74305.00ns INFO [00009288] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
74305.00ns INFO [00009288] GPR Update: R09=0000000000000001
74345.00ns INFO [00009293] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001CD75F31360000000, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
74361.00ns INFO [00009295] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, CD75F313, 0000
0000
0001FFAC, 60000000, 0000
0000
74401.00ns INFO [00009300] ...tick...
74441.00ns INFO [00009305] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
74449.00ns INFO [00009306] GPR Update: R09=0000000000000001
74449.00ns INFO [00009306] CR Update: F0=5
74457.00ns INFO [00009307] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
74465.00ns INFO [00009308] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
74465.00ns INFO [00009308] GPR Update: R09=000000000000001E
74473.00ns INFO [00009309] GPR Update: R09=000000000000001F
74505.00ns INFO [00009313] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001FCD75F313600000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000001FCD75F3136000000000000000, 1111000000000000
0001FFA0, 0000001F, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
31
74521.00ns INFO [00009315] Mem Update: @0001FFA0 0000001E->0000001F
0001FFA4, CD75F313, 0000
0000
0001FFA8, 60000000, 0000
0000
0001FFAC, 00000000, 0000
0000
74521.00ns INFO [00009315] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001F 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
74601.00ns INFO [00009325] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
74609.00ns INFO [00009326] GPR Update: R09=000000000000001F
74609.00ns INFO [00009326] CR Update: F0=3
74617.00ns INFO [00009327] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
74625.00ns INFO [00009328] C0: CP 0:000E50 1:000E54 0000000000000E50
74625.00ns INFO [00009328] GPR Update: R09=000000000000001F
74633.00ns INFO [00009329] C0: CP 0:000E58 1:000E5C 0000000000000E58
74633.00ns INFO [00009329] GPR Update: R09=0000000000000000
74633.00ns INFO [00009329] GPR Update: R10=000000000000007C
74641.00ns INFO [00009330] GPR Update: R09=00000000000013DC
74681.00ns INFO [00009335] C0: CP 0:000E60 0000000000000E60
74689.00ns INFO [00009336] GPR Update: R09=00000000FFFFFFFF
74697.00ns INFO [00009337] C0: CP 0:000E64 1:000E68 0000000000000E64
74705.00ns INFO [00009338] C0: CP 0:000E6C 1:000E70 0000000000000E6C
74705.00ns INFO [00009338] GPR Update: R09=000000000000001F
74713.00ns INFO [00009339] C0: CP 0:000E74 1:000E78 0000000000000E74
74713.00ns INFO [00009339] GPR Update: R09=0000000000000000
74713.00ns INFO [00009339] GPR Update: R10=000000000000007C
74721.00ns INFO [00009340] C0: CP 0:000E7C 0000000000000E7C
74721.00ns INFO [00009340] GPR Update: R09=000000000000147C
74729.00ns INFO [00009341] C0: CP 0:000E80 0000000000000E80
74729.00ns INFO [00009341] GPR Update: R09=00000000FFFFFFFF
74737.00ns INFO [00009342] C0: CP 0:000E84 0000000000000E84
74745.00ns INFO [00009343] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
74745.00ns INFO [00009343] C0: CP 0:000E88 0000000000000E88
74745.00ns INFO [00009343] GPR Update: R09=0000000000000001
74753.00ns INFO [00009344] C0: CP 0:000E8C 0000000000000E8C
74753.00ns INFO [00009344] CR Update: F0=5
0001FFA0
0001FFA0, 6000000000000000FFFFFFFFCD75F313, 0000000011110000
0001FFA0, 60000000, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
74761.00ns INFO [00009345] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
0001FFAC, CD75F313, 0000
0000
74825.00ns INFO [00009353] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, CD75F3136000000000000000FFFFFFFF, 0000000000001111
0001FFA0, CD75F313, 0000
0000
0001FFA4, 60000000, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
74841.00ns INFO [00009355] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
74841.00ns INFO [00009355] C0: CP 0:000E90 0000000000000E90
74849.00ns INFO [00009356] GPR Update: R10=00000000FFFFFFFF
74921.00ns INFO [00009365] C0: CP 0:000E94 1:000E98 0000000000000E94
74929.00ns INFO [00009366] GPR Update: R09=00000000FFFFFFFF
74929.00ns INFO [00009366] CR Update: F0=3
74937.00ns INFO [00009367] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
74945.00ns INFO [00009368] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
74945.00ns INFO [00009368] GPR Update: R09=0000000000000001
74985.00ns INFO [00009373] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 0000000000000001CD75F31360000000, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
75001.00ns INFO [00009375] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, CD75F313, 0000
0000
0001FFAC, 60000000, 0000
0000
75081.00ns INFO [00009385] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
75089.00ns INFO [00009386] GPR Update: R09=0000000000000001
75089.00ns INFO [00009386] CR Update: F0=5
75097.00ns INFO [00009387] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
75105.00ns INFO [00009388] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
75105.00ns INFO [00009388] GPR Update: R09=000000000000001F
75113.00ns INFO [00009389] GPR Update: R09=0000000000000020
75161.00ns INFO [00009395] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000020CD75F313600000000000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000020CD75F3136000000000000000, 1111000000000000
0001FFA0, 00000020, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
32
75177.00ns INFO [00009397] Mem Update: @0001FFA0 0000001F->00000020
0001FFA4, CD75F313, 0000
0000
0001FFA8, 60000000, 0000
0000
0001FFAC, 00000000, 0000
0000
75177.00ns INFO [00009397] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000020 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 ... .............gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
75201.00ns INFO [00009400] ...tick...
75257.00ns INFO [00009407] C0: CP 0:000ED4 0000000000000ED4
75265.00ns INFO [00009408] C0: CP 0:000ED8 0000000000000ED8
75265.00ns INFO [00009408] GPR Update: R09=0000000000000020
75273.00ns INFO [00009409] CR Update: F0=5
75281.00ns INFO [00009410] C0: CP 0:000EDC 0000000000000EDC
75401.00ns INFO [00009425] C0: CP 0:000EE0 0000000000000EE0
75409.00ns INFO [00009426] T0 IFETCH 00000F40 tag=08 len=6 WIMG:0
75409.00ns INFO [00009426] GPR Update: R09=0000000000000021
75425.00ns INFO [00009428] C0: CP 0:000EE4 0000000000000EE4
75529.00ns INFO [00009441] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000214800008C812100080000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 000000214800008C8121000800000000, 1111000000000000
0001FFA0, 00000021, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
33
75545.00ns INFO [00009443] Mem Update: @0001FFA0 00000020->00000021
0001FFA4, 4800008C, 0000
0000
0001FFA8, 81210008, 0000
0000
0001FFAC, 00000000, 0000
0000
75545.00ns INFO [00009443] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000021 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...!.............gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
75569.00ns INFO [00009446] T0 IFETCH 00000F80 tag=09 len=6 WIMG:0
75625.00ns INFO [00009453] C0: CP 0:000EE8 1:000EEC 0000000000000EE8
75633.00ns INFO [00009454] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
75633.00ns INFO [00009454] GPR Update: R09=0000000000000021
75633.00ns INFO [00009454] GPR Update: R10=0000000000000084
75641.00ns INFO [00009455] GPR Update: R09=0000000000001360
75649.00ns INFO [00009456] C0: CP 0:000EF8 0000000000000EF8
75657.00ns INFO [00009457] GPR Update: R09=00000000000013E4
75705.00ns INFO [00009463] C0: CP 0:000EFC 0000000000000EFC
75713.00ns INFO [00009464] GPR Update: R09=000000008000006E
75721.00ns INFO [00009465] C0: CP 0:000F00 1:000F04 0000000000000F00
75729.00ns INFO [00009466] C0: CP 0:000F08 1:000F0C 0000000000000F08
75729.00ns INFO [00009466] GPR Update: R09=0000000000000021
75737.00ns INFO [00009467] T0 LOAD 00001484 tag=00 len=4 WIMG:0
75737.00ns INFO [00009467] C0: CP 0:000F10 1:000F14 0000000000000F10
75737.00ns INFO [00009467] GPR Update: R09=0000000000000000
75737.00ns INFO [00009467] GPR Update: R10=0000000000000084
75745.00ns INFO [00009468] GPR Update: R09=0000000000001484
75833.00ns INFO [00009479] C0: CP 0:000F18 0000000000000F18
75841.00ns INFO [00009480] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=7D2A48AE000000008000006E394A090400000000000000000000000000000000 WIMG:0
75841.00ns INFO [00009480] GPR Update: R09=0000000098F0006E
0001FFA0
0001FFA0, 7D2A48AE000000008000006E394A0904, 0000000011110000
0001FFA0, 7D2A48AE, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, 8000006E, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2147483758
75857.00ns INFO [00009482] Mem Update: @0001FFA8 FFFFFFFF->8000006E
0001FFAC, 394A0904, 0000
0000
75857.00ns INFO [00009482] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000021 00000001 8000006E FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...!.......n.....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
75857.00ns INFO [00009482] C0: CP 0:000F1C 0000000000000F1C
75865.00ns INFO [00009483] GPR Update: R09=98F0006E8000006E
75881.00ns INFO [00009485] T0 IFETCH 00000FF0 tag=08 len=6 WIMG:0
75881.00ns INFO [00009485] C0: CP 0:000F20 1:000F24 0000000000000F20
75889.00ns INFO [00009486] C0: CP 0:000F28 1:000F2C 0000000000000F28
75889.00ns INFO [00009486] GPR Update: R09=0000000000000001
75897.00ns INFO [00009487] CR Update: F0=5
76001.00ns INFO [00009500] ...tick...
76001.00ns INFO [00009500] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=812100083929000198F0006E8000006E00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 812100083929000198F0006E8000006E, 0000000000001111
0001FFA0, 81210008, 0000
0000
0001FFA4, 39290001, 0000
0000
0001FFA8, 98F0006E, 0000
0000
0001FFAC, 8000006E, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
2147483758
76017.00ns INFO [00009502] Mem Update: @0001FFAC FFFFFFFF->8000006E
76017.00ns INFO [00009502] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000021 00000001 8000006E 8000006E 08675309 00000000 0001FFF8 00001350 ...!.......n...n.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
76033.00ns INFO [00009504] C0: CP 0:000F30 0000000000000F30
76041.00ns INFO [00009505] GPR Update: R10=000000008000006E
76073.00ns INFO [00009509] T0 IFETCH 00000F80 tag=08 len=6 WIMG:0
76105.00ns INFO [00009513] C0: CP 0:000F34 0000000000000F34
76113.00ns INFO [00009514] C0: CP 0:000F38 0000000000000F38
76113.00ns INFO [00009514] GPR Update: R09=000000008000006E
76121.00ns INFO [00009515] C0: CP 0:000F3C 0000000000000F3C
76121.00ns INFO [00009515] CR Update: F0=3
76241.00ns INFO [00009530] C0: CP 0:000F40 1:000F44 0000000000000F40
76249.00ns INFO [00009531] GPR Update: R09=0000000000000001
76305.00ns INFO [00009538] C0: CP 0:000F4C 0000000000000F4C
76345.00ns INFO [00009543] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000012C0900004182001C00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000000000000012C0900004182001C, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
76361.00ns INFO [00009545] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, 2C090000, 0000
0000
0001FFAC, 4182001C, 0000
0000
76441.00ns INFO [00009555] C0: CP 0:000F50 1:000F54 0000000000000F50
76449.00ns INFO [00009556] GPR Update: R09=0000000000000001
76449.00ns INFO [00009556] CR Update: F0=5
76457.00ns INFO [00009557] C0: CP 0:000F58 1:000F68 0000000000000F58
76465.00ns INFO [00009558] C0: CP 0:000F6C 1:000F70 0000000000000F6C
76465.00ns INFO [00009558] GPR Update: R09=0000000000000022
76521.00ns INFO [00009565] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000222C0900004182001C0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 000000222C0900004182001C00000000, 1111000000000000
0001FFA0, 00000022, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
34
76537.00ns INFO [00009567] Mem Update: @0001FFA0 00000021->00000022
0001FFA4, 2C090000, 0000
0000
0001FFA8, 4182001C, 0000
0000
0001FFAC, 00000000, 0000
0000
76537.00ns INFO [00009567] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000022 00000001 8000006E 8000006E 08675309 00000000 0001FFF8 00001350 ...".......n...n.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
76617.00ns INFO [00009577] C0: CP 0:000FFC 1:001000 0000000000000FFC
76625.00ns INFO [00009578] GPR Update: R09=0000000000000022
76625.00ns INFO [00009578] CR Update: F0=9
76633.00ns INFO [00009579] C0: CP 0:001004 1:000F74 0000000000001004
76641.00ns INFO [00009580] C0: CP 0:000F78 1:000F7C 0000000000000F78
76641.00ns INFO [00009580] GPR Update: R09=0000000000000022
76649.00ns INFO [00009581] C0: CP 0:000F80 1:000F84 0000000000000F80
76649.00ns INFO [00009581] GPR Update: R09=0000000000000000
76649.00ns INFO [00009581] GPR Update: R10=0000000000000088
76657.00ns INFO [00009582] GPR Update: R09=00000000000013E8
76705.00ns INFO [00009588] C0: CP 0:000F88 0000000000000F88
76713.00ns INFO [00009589] GPR Update: R09=00000000FFFFFFFF
76721.00ns INFO [00009590] C0: CP 0:000F8C 1:000F90 0000000000000F8C
76729.00ns INFO [00009591] C0: CP 0:000F94 1:000F98 0000000000000F94
76729.00ns INFO [00009591] GPR Update: R09=0000000000000022
76737.00ns INFO [00009592] C0: CP 0:000F9C 1:000FA0 0000000000000F9C
76737.00ns INFO [00009592] GPR Update: R09=0000000000000000
76737.00ns INFO [00009592] GPR Update: R10=0000000000000088
76745.00ns INFO [00009593] C0: CP 0:000FA4 0000000000000FA4
76745.00ns INFO [00009593] GPR Update: R09=0000000000001488
76753.00ns INFO [00009594] GPR Update: R09=00000000FFFFFFFF
76761.00ns INFO [00009595] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=4182001C00000000FFFFFFFF2C09000000000000000000000000000000000000 WIMG:0
76761.00ns INFO [00009595] C0: CP 0:000FA8 1:000FAC 0000000000000FA8
76769.00ns INFO [00009596] C0: CP 0:000FB0 0000000000000FB0
76769.00ns INFO [00009596] GPR Update: R09=0000000000000001
0001FFA0
0001FFA0, 4182001C00000000FFFFFFFF2C090000, 0000000011110000
0001FFA0, 4182001C, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
76777.00ns INFO [00009597] Mem Update: @0001FFA8 8000006E->FFFFFFFF
0001FFAC, 2C090000, 0000
0000
76777.00ns INFO [00009597] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000022 00000001 FFFFFFFF 8000006E 08675309 00000000 0001FFF8 00001350 ..."...........n.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
76777.00ns INFO [00009597] C0: CP 0:000FB4 0000000000000FB4
76777.00ns INFO [00009597] CR Update: F0=5
76801.00ns INFO [00009600] ...tick...
76825.00ns INFO [00009603] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=2C0900004182001C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 2C0900004182001C00000000FFFFFFFF, 0000000000001111
0001FFA0, 2C090000, 0000
0000
0001FFA4, 4182001C, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
76841.00ns INFO [00009605] Mem Update: @0001FFAC 8000006E->FFFFFFFF
76841.00ns INFO [00009605] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000022 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...".............gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
76929.00ns INFO [00009616] C0: CP 0:000FB8 0000000000000FB8
76937.00ns INFO [00009617] C0: CP 0:000FBC 0000000000000FBC
76937.00ns INFO [00009617] GPR Update: R10=00000000FFFFFFFF
76945.00ns INFO [00009618] C0: CP 0:000FC0 0000000000000FC0
76945.00ns INFO [00009618] GPR Update: R09=00000000FFFFFFFF
76953.00ns INFO [00009619] C0: CP 0:000FC4 0000000000000FC4
76953.00ns INFO [00009619] CR Update: F0=3
77073.00ns INFO [00009634] C0: CP 0:000FC8 1:000FCC 0000000000000FC8
77081.00ns INFO [00009635] GPR Update: R09=0000000000000001
77137.00ns INFO [00009642] C0: CP 0:000FD4 0000000000000FD4
77177.00ns INFO [00009647] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000012C0900004182001C00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000000000000012C0900004182001C, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
77193.00ns INFO [00009649] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, 2C090000, 0000
0000
0001FFAC, 4182001C, 0000
0000
77273.00ns INFO [00009659] C0: CP 0:000FD8 1:000FDC 0000000000000FD8
77281.00ns INFO [00009660] GPR Update: R09=0000000000000001
77281.00ns INFO [00009660] CR Update: F0=5
77289.00ns INFO [00009661] C0: CP 0:000FE0 1:000FF0 0000000000000FE0
77297.00ns INFO [00009662] C0: CP 0:000FF4 1:000FF8 0000000000000FF4
77297.00ns INFO [00009662] GPR Update: R09=0000000000000022
77305.00ns INFO [00009663] GPR Update: R09=0000000000000023
77353.00ns INFO [00009669] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000232C0900004182001C0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 000000232C0900004182001C00000000, 1111000000000000
0001FFA0, 00000023, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
35
77369.00ns INFO [00009671] Mem Update: @0001FFA0 00000022->00000023
0001FFA4, 2C090000, 0000
0000
0001FFA8, 4182001C, 0000
0000
0001FFAC, 00000000, 0000
0000
77369.00ns INFO [00009671] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000023 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...#.............gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
77449.00ns INFO [00009681] C0: CP 0:000FFC 0000000000000FFC
77457.00ns INFO [00009682] C0: CP 0:001000 0000000000001000
77457.00ns INFO [00009682] GPR Update: R09=0000000000000023
77465.00ns INFO [00009683] CR Update: F0=3
77473.00ns INFO [00009684] C0: CP 0:001004 1:000F74 0000000000001004
77481.00ns INFO [00009685] C0: CP 0:000F78 1:000F7C 0000000000000F78
77481.00ns INFO [00009685] GPR Update: R09=0000000000000023
77489.00ns INFO [00009686] C0: CP 0:000F80 1:000F84 0000000000000F80
77489.00ns INFO [00009686] GPR Update: R09=0000000000000000
77489.00ns INFO [00009686] GPR Update: R10=000000000000008C
77497.00ns INFO [00009687] GPR Update: R09=00000000000013EC
77529.00ns INFO [00009691] C0: CP 0:000F88 0000000000000F88
77537.00ns INFO [00009692] GPR Update: R09=00000000FFFFFFFF
77545.00ns INFO [00009693] C0: CP 0:000F8C 1:000F90 0000000000000F8C
77553.00ns INFO [00009694] C0: CP 0:000F94 1:000F98 0000000000000F94
77553.00ns INFO [00009694] GPR Update: R09=0000000000000023
77561.00ns INFO [00009695] C0: CP 0:000F9C 1:000FA0 0000000000000F9C
77561.00ns INFO [00009695] GPR Update: R09=0000000000000000
77561.00ns INFO [00009695] GPR Update: R10=000000000000008C
77569.00ns INFO [00009696] GPR Update: R09=000000000000148C
77577.00ns INFO [00009697] C0: CP 0:000FA4 0000000000000FA4
77585.00ns INFO [00009698] GPR Update: R09=00000000FFFFFFFF
77593.00ns INFO [00009699] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=4182001C00000000FFFFFFFF2C09000000000000000000000000000000000000 WIMG:0
77593.00ns INFO [00009699] C0: CP 0:000FA8 0000000000000FA8
77601.00ns INFO [00009700] ...tick...
77601.00ns INFO [00009700] C0: CP 0:000FAC 0000000000000FAC
0001FFA0
0001FFA0, 4182001C00000000FFFFFFFF2C090000, 0000000011110000
0001FFA0, 4182001C, 0000
0000
0001FFA4, 00000000, 0000
0000
0001FFA8, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
77609.00ns INFO [00009701] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
0001FFAC, 2C090000, 0000
0000
77609.00ns INFO [00009701] C0: CP 0:000FB0 0000000000000FB0
77609.00ns INFO [00009701] GPR Update: R09=0000000000000001
77617.00ns INFO [00009702] C0: CP 0:000FB4 0000000000000FB4
77617.00ns INFO [00009702] CR Update: F0=5
77657.00ns INFO [00009707] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=2C0900004182001C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 2C0900004182001C00000000FFFFFFFF, 0000000000001111
0001FFA0, 2C090000, 0000
0000
0001FFA4, 4182001C, 0000
0000
0001FFA8, 00000000, 0000
0000
0001FFAC, FFFFFFFF, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
4294967295
77673.00ns INFO [00009709] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
77769.00ns INFO [00009721] C0: CP 0:000FB8 0000000000000FB8
77777.00ns INFO [00009722] C0: CP 0:000FBC 0000000000000FBC
77777.00ns INFO [00009722] GPR Update: R10=00000000FFFFFFFF
77785.00ns INFO [00009723] C0: CP 0:000FC0 0000000000000FC0
77785.00ns INFO [00009723] GPR Update: R09=00000000FFFFFFFF
77793.00ns INFO [00009724] C0: CP 0:000FC4 0000000000000FC4
77793.00ns INFO [00009724] CR Update: F0=3
77913.00ns INFO [00009739] C0: CP 0:000FC8 1:000FCC 0000000000000FC8
77921.00ns INFO [00009740] GPR Update: R09=0000000000000001
77961.00ns INFO [00009745] C0: CP 0:000FD4 0000000000000FD4
78009.00ns INFO [00009751] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000012C0900004182001C00000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 00000000000000012C0900004182001C, 0000111100000000
0001FFA0, 00000000, 0000
0000
0001FFA4, 00000001, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
1
78025.00ns INFO [00009753] Mem Update: @0001FFA4 00000001->00000001
0001FFA8, 2C090000, 0000
0000
0001FFAC, 4182001C, 0000
0000
78105.00ns INFO [00009763] C0: CP 0:000FD8 1:000FDC 0000000000000FD8
78113.00ns INFO [00009764] GPR Update: R09=0000000000000001
78113.00ns INFO [00009764] CR Update: F0=5
78121.00ns INFO [00009765] C0: CP 0:000FE0 1:000FF0 0000000000000FE0
78129.00ns INFO [00009766] C0: CP 0:000FF4 1:000FF8 0000000000000FF4
78129.00ns INFO [00009766] GPR Update: R09=0000000000000023
78137.00ns INFO [00009767] GPR Update: R09=0000000000000024
78185.00ns INFO [00009773] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000242C0900004182001C0000000000000000000000000000000000000000 WIMG:0
0001FFA0
0001FFA0, 000000242C0900004182001C00000000, 1111000000000000
0001FFA0, 00000024, 1111
1111
0
65280
16776960
4294967040
FFFFFFFF
00000000
36
78201.00ns INFO [00009775] Mem Update: @0001FFA0 00000023->00000024
0001FFA4, 2C090000, 0000
0000
0001FFA8, 4182001C, 0000
0000
0001FFAC, 00000000, 0000
0000
78201.00ns INFO [00009775] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000024 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...$.............gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
78281.00ns INFO [00009785] C0: CP 0:000FFC 0000000000000FFC
78289.00ns INFO [00009786] C0: CP 0:001000 0000000000001000
78289.00ns INFO [00009786] GPR Update: R09=0000000000000024
78297.00ns INFO [00009787] C0: CP 0:001004 0000000000001004
78297.00ns INFO [00009787] CR Update: F0=5
78385.00ns INFO [00009798] T0 IFETCH 000007F0 tag=08 len=6 WIMG:0
78401.00ns INFO [00009800] ...tick...
78409.00ns INFO [00009801] C0: CP 0:001008 0000000000001008
78417.00ns INFO [00009802] LR Update:000000000000100C
78553.00ns INFO [00009819] T0 IFETCH 00000800 tag=09 len=6 WIMG:0
78561.00ns INFO [00009820] C0: CP 0:0007F0 00000000000007F0
78561.00ns INFO [00009820] Passing IAR detected: 000007F0
78561.00ns INFO Test stopped by this forked coroutine
78561.00ns INFO tb_node passed
78561.00ns INFO **************************************************************************************
** TEST STATUS SIM TIME (ns) REAL TIME (s) RATIO (ns/s) **
**************************************************************************************
** tb_node.tb_node PASS 78561.00 2196.62 35.76 **
**************************************************************************************
** TESTS=0 PASS=1 FAIL=0 SKIP=0 78561.00 2196.64 35.76 **
**************************************************************************************
VCD info: dumpfile a2onode.vcd opened for output.
VCD warning: $dumpvars: Package ($unit) is not dumpable with VCD.
make[1]: Leaving directory '/data/projects/a2o/dev/sim/coco'
vcd2fst a2onode.vcd a2onode.fst
rm a2onode.vcd