From 57586061758c1cfd667c1e7ff148e19e1fe4f1d1 Mon Sep 17 00:00:00 2001 From: wtf Date: Sun, 21 Nov 2021 22:34:13 -0600 Subject: [PATCH] openroad --- build/openroad/logs/1_1_yosys.log | 3594 +++++++ build/openroad/logs/2_1_floorplan.json | 2 + build/openroad/logs/2_1_floorplan.log | 335 + build/openroad/logs/2_2_floorplan_io.json | 2 + build/openroad/logs/2_2_floorplan_io.log | 21 + build/openroad/logs/2_3_tdms.json | 2 + build/openroad/logs/2_3_tdms_place.log | 18 + build/openroad/logs/2_4_mplace.json | 2 + build/openroad/logs/2_4_mplace.log | 18 + build/openroad/logs/2_5_tapcell.json | 2 + build/openroad/logs/2_5_tapcell.log | 22 + build/openroad/logs/2_6_pdn.json | 2 + build/openroad/logs/2_6_pdn.log | 41 + build/openroad/logs/3_1_place_gp.json | 2 + build/openroad/logs/3_1_place_gp.log | 492 + build/openroad/logs/3_2_place_iop.json | 2 + build/openroad/logs/3_2_place_iop.log | 33 + build/openroad/logs/3_3_resizer.json | 2 + build/openroad/logs/3_3_resizer.log | 1796 ++++ build/openroad/logs/3_4_opendp.json | 2 + build/openroad/logs/3_4_opendp.log | 1463 +++ build/openroad/logs/4_1_cts.json | 2 + build/openroad/logs/4_1_cts.log | 10217 ++++++++++++++++++++ build/openroad/logs/4_2_cts_fillcell.json | 2 + build/openroad/logs/4_2_cts_fillcell.log | 22 + build/openroad/logs/5_1_fastroute.json | 2 + build/openroad/logs/5_1_fastroute.log | 2498 +++++ build/openroad/logs/5_2_TritonRoute.json | 4 + build/openroad/logs/5_2_TritonRoute.log | 1092 +++ build/openroad/logs/6_1_merge.log | 42 + build/openroad/logs/6_report.json | 2 + build/openroad/logs/6_report.log | 2331 +++++ build/openroad/readme.md | 9 + 33 files changed, 24076 insertions(+) create mode 100644 build/openroad/logs/1_1_yosys.log create mode 100644 build/openroad/logs/2_1_floorplan.json create mode 100644 build/openroad/logs/2_1_floorplan.log create mode 100644 build/openroad/logs/2_2_floorplan_io.json create mode 100644 build/openroad/logs/2_2_floorplan_io.log create mode 100644 build/openroad/logs/2_3_tdms.json create mode 100644 build/openroad/logs/2_3_tdms_place.log create mode 100644 build/openroad/logs/2_4_mplace.json create mode 100644 build/openroad/logs/2_4_mplace.log create mode 100644 build/openroad/logs/2_5_tapcell.json create mode 100644 build/openroad/logs/2_5_tapcell.log create mode 100644 build/openroad/logs/2_6_pdn.json create mode 100644 build/openroad/logs/2_6_pdn.log create mode 100644 build/openroad/logs/3_1_place_gp.json create mode 100644 build/openroad/logs/3_1_place_gp.log create mode 100644 build/openroad/logs/3_2_place_iop.json create mode 100644 build/openroad/logs/3_2_place_iop.log create mode 100644 build/openroad/logs/3_3_resizer.json create mode 100644 build/openroad/logs/3_3_resizer.log create mode 100644 build/openroad/logs/3_4_opendp.json create mode 100644 build/openroad/logs/3_4_opendp.log create mode 100644 build/openroad/logs/4_1_cts.json create mode 100644 build/openroad/logs/4_1_cts.log create mode 100644 build/openroad/logs/4_2_cts_fillcell.json create mode 100644 build/openroad/logs/4_2_cts_fillcell.log create mode 100644 build/openroad/logs/5_1_fastroute.json create mode 100644 build/openroad/logs/5_1_fastroute.log create mode 100644 build/openroad/logs/5_2_TritonRoute.json create mode 100644 build/openroad/logs/5_2_TritonRoute.log create mode 100644 build/openroad/logs/6_1_merge.log create mode 100644 build/openroad/logs/6_report.json create mode 100644 build/openroad/logs/6_report.log diff --git a/build/openroad/logs/1_1_yosys.log b/build/openroad/logs/1_1_yosys.log new file mode 100644 index 0000000..eda1b1d --- /dev/null +++ b/build/openroad/logs/1_1_yosys.log @@ -0,0 +1,3594 @@ +1. Executing Verilog-2005 frontend: ./designs/sky130hd/a2p/src/A2P_WB.v +2. Executing Verilog-2005 frontend: ./designs/sky130hd/a2p/src/DFFRF_2R1W.v +3. Executing Verilog-2005 frontend: ./designs/sky130hd/a2p/src/RAM1024.v +4. Executing Verilog-2005 frontend: ./designs/sky130hd/a2p/src/RAM128.v +5. Executing Verilog-2005 frontend: ./designs/sky130hd/a2p/src/dcdata.v +6. Executing Verilog-2005 frontend: ./designs/sky130hd/a2p/src/dcdir.v +7. Executing Verilog-2005 frontend: ./designs/sky130hd/a2p/src/defs.v +8. Executing Verilog-2005 frontend: ./designs/sky130hd/a2p/src/gpr.v +9. Executing Verilog-2005 frontend: ./designs/sky130hd/a2p/src/icdata.v +10. Executing Verilog-2005 frontend: ./designs/sky130hd/a2p/src/icdir.v +11. Executing Liberty frontend. +12. Executing Verilog-2005 frontend: ./platforms/sky130hd/cells_clkgate_hd.v +13. Executing SYNTH pass. +13.1. Executing HIERARCHY pass (managing design hierarchy). +13.2. Executing AST frontend in derive mode using pre-parsed AST for module `\A2P_WB'. +13.2.1. Analyzing design hierarchy.. +13.2.2. Executing AST frontend in derive mode using pre-parsed AST for module `\DataCache'. +./designs/sky130hd/a2p/src/A2P_WB.v:640: Warning: Identifier `\dcdir_rd_dat' is implicitly declared. +13.2.3. Executing AST frontend in derive mode using pre-parsed AST for module `\InstructionCache'. +Warning: wire '\ways_0_datas' is assigned in a block at ./designs/sky130hd/a2p/src/A2P_WB.v:317.7-317.100. +./designs/sky130hd/a2p/src/A2P_WB.v:317: Warning: Identifier `\ways_0_datas' is implicitly declared. +13.2.4. Executing AST frontend in derive mode using pre-parsed AST for module `\gpr'. +13.2.5. Analyzing design hierarchy.. +13.2.6. Executing AST frontend in derive mode using pre-parsed AST for module `\icdir'. +13.2.7. Executing AST frontend in derive mode using pre-parsed AST for module `\dcdata'. +13.2.8. Executing AST frontend in derive mode using pre-parsed AST for module `\dcdir'. +13.2.9. Executing AST frontend in derive mode using pre-parsed AST for module `\DFFRF_2R1W'. +13.2.10. Analyzing design hierarchy.. +13.2.11. Analyzing design hierarchy.. +Warning: Resizing cell port InstructionCache.ways_0_tags.wr_en from 1 bits to 4 bits. +Warning: Resizing cell port DataCache.dcdir.wr_en from 1 bits to 4 bits. +Warning: Resizing cell port DataCache.dcdir.rd_dat from 1 bits to 22 bits. +13.3. Executing PROC pass (convert processes to netlists). +13.3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). +13.3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). +13.3.3. Executing PROC_PRUNE pass (remove redundant assignments in processes). +13.3.4. Executing PROC_INIT pass (extract init attributes). +13.3.5. Executing PROC_ARST pass (detect async resets in processes). +13.3.6. Executing PROC_MUX pass (convert decision trees to multiplexers). +13.3.7. Executing PROC_DLATCH pass (convert process syncs to latches). +13.3.8. Executing PROC_DFF pass (convert process syncs to FFs). +Warning: Async reset value `\externalResetVector' is not constant! +13.3.9. Executing PROC_CLEAN pass (remove empty switches from decision trees). +13.4. Executing FLATTEN pass (flatten design). +13.5. Executing OPT_EXPR pass (perform const folding). +13.6. Executing OPT_CLEAN pass (remove unused cells and wires). +13.7. Executing CHECK pass (checking for obvious problems). +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [9]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[9].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [9]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [8]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[8].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [8]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [7]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[7].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [7]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [6]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[6].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [6]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [5]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[5].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [5]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [4]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[4].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [4]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [3]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[3].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [3]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [31]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[31].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [31]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [30]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[30].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [30]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [2]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[2].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [2]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [29]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[29].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [29]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [28]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[28].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [28]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [27]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[27].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [27]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [26]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[26].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [26]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [25]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[25].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [25]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [24]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[24].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [24]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [23]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[23].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [23]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [22]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[22].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [22]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [21]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[21].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [21]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [20]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[20].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [20]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [1]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[1].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [1]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [19]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[19].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [19]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [18]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[18].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [18]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [17]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[17].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [17]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [16]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[16].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [16]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [15]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[15].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [15]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [14]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[14].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [14]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [13]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[13].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [13]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [12]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[12].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [12]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [11]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[11].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [11]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [10]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[10].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [10]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DB [0]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[0].OBUF2 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.regFile01.DA [0]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: Wire A2P_WB.\IBusCachedPlugin_cache.ways_0_datas is used but has no driver. +13.8. Executing OPT pass (performing simple optimizations). +13.8.1. Executing OPT_EXPR pass (perform const folding). +13.8.2. Executing OPT_MERGE pass (detect identical cells). +13.8.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.8.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.8.5. Executing OPT_MERGE pass (detect identical cells). +13.8.6. Executing OPT_DFF pass (perform DFF optimizations). +13.8.7. Executing OPT_CLEAN pass (remove unused cells and wires). +13.8.8. Executing OPT_EXPR pass (perform const folding). +13.8.9. Rerunning OPT passes. (Maybe there is more to do..) +13.8.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.8.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.8.12. Executing OPT_MERGE pass (detect identical cells). +13.8.13. Executing OPT_DFF pass (perform DFF optimizations). +13.8.14. Executing OPT_CLEAN pass (remove unused cells and wires). +13.8.15. Executing OPT_EXPR pass (perform const folding). +13.8.16. Rerunning OPT passes. (Maybe there is more to do..) +13.8.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.8.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.8.19. Executing OPT_MERGE pass (detect identical cells). +13.8.20. Executing OPT_DFF pass (perform DFF optimizations). +13.8.21. Executing OPT_CLEAN pass (remove unused cells and wires). +13.8.22. Executing OPT_EXPR pass (perform const folding). +13.8.23. Finished OPT passes. (There is nothing left to do.) +13.9. Executing FSM pass (extract and optimize FSM). +13.9.1. Executing FSM_DETECT pass (finding FSMs in design). +13.9.2. Executing FSM_EXTRACT pass (extracting FSM from design). +13.9.3. Executing FSM_OPT pass (simple optimizations of FSMs). +13.9.4. Executing OPT_CLEAN pass (remove unused cells and wires). +13.9.5. Executing FSM_OPT pass (simple optimizations of FSMs). +13.9.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). +13.9.7. Executing FSM_INFO pass (dumping all available information on FSM cells). +13.9.8. Executing FSM_MAP pass (mapping FSMs to basic logic). +13.10. Executing OPT pass (performing simple optimizations). +13.10.1. Executing OPT_EXPR pass (perform const folding). +13.10.2. Executing OPT_MERGE pass (detect identical cells). +13.10.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.10.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.10.5. Executing OPT_MERGE pass (detect identical cells). +13.10.6. Executing OPT_DFF pass (perform DFF optimizations). +13.10.7. Executing OPT_CLEAN pass (remove unused cells and wires). +13.10.8. Executing OPT_EXPR pass (perform const folding). +13.10.9. Rerunning OPT passes. (Maybe there is more to do..) +13.10.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.10.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.10.12. Executing OPT_MERGE pass (detect identical cells). +13.10.13. Executing OPT_DFF pass (perform DFF optimizations). +13.10.14. Executing OPT_CLEAN pass (remove unused cells and wires). +13.10.15. Executing OPT_EXPR pass (perform const folding). +13.10.16. Rerunning OPT passes. (Maybe there is more to do..) +13.10.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.10.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.10.19. Executing OPT_MERGE pass (detect identical cells). +13.10.20. Executing OPT_DFF pass (perform DFF optimizations). +13.10.21. Executing OPT_CLEAN pass (remove unused cells and wires). +13.10.22. Executing OPT_EXPR pass (perform const folding). +13.10.23. Rerunning OPT passes. (Maybe there is more to do..) +13.10.24. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.10.25. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.10.26. Executing OPT_MERGE pass (detect identical cells). +13.10.27. Executing OPT_DFF pass (perform DFF optimizations). +13.10.28. Executing OPT_CLEAN pass (remove unused cells and wires). +13.10.29. Executing OPT_EXPR pass (perform const folding). +13.10.30. Rerunning OPT passes. (Maybe there is more to do..) +13.10.31. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.10.32. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.10.33. Executing OPT_MERGE pass (detect identical cells). +13.10.34. Executing OPT_DFF pass (perform DFF optimizations). +13.10.35. Executing OPT_CLEAN pass (remove unused cells and wires). +13.10.36. Executing OPT_EXPR pass (perform const folding). +13.10.37. Rerunning OPT passes. (Maybe there is more to do..) +13.10.38. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.10.39. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.10.40. Executing OPT_MERGE pass (detect identical cells). +13.10.41. Executing OPT_DFF pass (perform DFF optimizations). +13.10.42. Executing OPT_CLEAN pass (remove unused cells and wires). +13.10.43. Executing OPT_EXPR pass (perform const folding). +13.10.44. Rerunning OPT passes. (Maybe there is more to do..) +13.10.45. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.10.46. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.10.47. Executing OPT_MERGE pass (detect identical cells). +13.10.48. Executing OPT_DFF pass (perform DFF optimizations). +13.10.49. Executing OPT_CLEAN pass (remove unused cells and wires). +13.10.50. Executing OPT_EXPR pass (perform const folding). +13.10.51. Finished OPT passes. (There is nothing left to do.) +13.11. Executing WREDUCE pass (reducing word size of cells). +13.12. Executing PEEPOPT pass (run peephole optimizers). +13.13. Executing OPT_CLEAN pass (remove unused cells and wires). +13.14. Executing ALUMACC pass (create $alu and $macc cells). +13.15. Executing SHARE pass (SAT-based resource sharing). +13.16. Executing OPT pass (performing simple optimizations). +13.16.1. Executing OPT_EXPR pass (perform const folding). +13.16.2. Executing OPT_MERGE pass (detect identical cells). +13.16.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.16.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.16.5. Executing OPT_MERGE pass (detect identical cells). +13.16.6. Executing OPT_DFF pass (perform DFF optimizations). +13.16.7. Executing OPT_CLEAN pass (remove unused cells and wires). +13.16.8. Executing OPT_EXPR pass (perform const folding). +13.16.9. Rerunning OPT passes. (Maybe there is more to do..) +13.16.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.16.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.16.12. Executing OPT_MERGE pass (detect identical cells). +13.16.13. Executing OPT_DFF pass (perform DFF optimizations). +13.16.14. Executing OPT_CLEAN pass (remove unused cells and wires). +13.16.15. Executing OPT_EXPR pass (perform const folding). +13.16.16. Rerunning OPT passes. (Maybe there is more to do..) +13.16.17. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.16.18. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.16.19. Executing OPT_MERGE pass (detect identical cells). +13.16.20. Executing OPT_DFF pass (perform DFF optimizations). +13.16.21. Executing OPT_CLEAN pass (remove unused cells and wires). +13.16.22. Executing OPT_EXPR pass (perform const folding). +13.16.23. Rerunning OPT passes. (Maybe there is more to do..) +13.16.24. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.16.25. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.16.26. Executing OPT_MERGE pass (detect identical cells). +13.16.27. Executing OPT_DFF pass (perform DFF optimizations). +13.16.28. Executing OPT_CLEAN pass (remove unused cells and wires). +13.16.29. Executing OPT_EXPR pass (perform const folding). +13.16.30. Finished OPT passes. (There is nothing left to do.) +13.17. Executing MEMORY pass. +13.17.1. Executing OPT_MEM pass (optimize memories). +13.17.2. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr). +13.17.3. Executing OPT_CLEAN pass (remove unused cells and wires). +13.17.4. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). +13.17.5. Executing OPT_CLEAN pass (remove unused cells and wires). +13.17.6. Executing MEMORY_COLLECT pass (generating $mem cells). +13.18. Executing OPT_CLEAN pass (remove unused cells and wires). +13.19. Executing OPT pass (performing simple optimizations). +13.19.1. Executing OPT_EXPR pass (perform const folding). +13.19.2. Executing OPT_MERGE pass (detect identical cells). +13.19.3. Executing OPT_DFF pass (perform DFF optimizations). +13.19.4. Executing OPT_CLEAN pass (remove unused cells and wires). +13.19.5. Finished fast OPT passes. +13.20. Executing MEMORY_MAP pass (converting memories to logic and flip-flops). +13.21. Executing OPT pass (performing simple optimizations). +13.21.1. Executing OPT_EXPR pass (perform const folding). +13.21.2. Executing OPT_MERGE pass (detect identical cells). +13.21.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.21.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.21.5. Executing OPT_MERGE pass (detect identical cells). +13.21.6. Executing OPT_SHARE pass. +13.21.7. Executing OPT_DFF pass (perform DFF optimizations). +13.21.8. Executing OPT_CLEAN pass (remove unused cells and wires). +13.21.9. Executing OPT_EXPR pass (perform const folding). +13.21.10. Rerunning OPT passes. (Maybe there is more to do..) +13.21.11. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.21.12. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.21.13. Executing OPT_MERGE pass (detect identical cells). +13.21.14. Executing OPT_SHARE pass. +13.21.15. Executing OPT_DFF pass (perform DFF optimizations). +13.21.16. Executing OPT_CLEAN pass (remove unused cells and wires). +13.21.17. Executing OPT_EXPR pass (perform const folding). +13.21.18. Rerunning OPT passes. (Maybe there is more to do..) +13.21.19. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.21.20. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.21.21. Executing OPT_MERGE pass (detect identical cells). +13.21.22. Executing OPT_SHARE pass. +13.21.23. Executing OPT_DFF pass (perform DFF optimizations). +13.21.24. Executing OPT_CLEAN pass (remove unused cells and wires). +13.21.25. Executing OPT_EXPR pass (perform const folding). +13.21.26. Rerunning OPT passes. (Maybe there is more to do..) +13.21.27. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.21.28. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.21.29. Executing OPT_MERGE pass (detect identical cells). +13.21.30. Executing OPT_SHARE pass. +13.21.31. Executing OPT_DFF pass (perform DFF optimizations). +13.21.32. Executing OPT_CLEAN pass (remove unused cells and wires). +13.21.33. Executing OPT_EXPR pass (perform const folding). +13.21.34. Rerunning OPT passes. (Maybe there is more to do..) +13.21.35. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.21.36. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.21.37. Executing OPT_MERGE pass (detect identical cells). +13.21.38. Executing OPT_SHARE pass. +13.21.39. Executing OPT_DFF pass (perform DFF optimizations). +13.21.40. Executing OPT_CLEAN pass (remove unused cells and wires). +13.21.41. Executing OPT_EXPR pass (perform const folding). +13.21.42. Rerunning OPT passes. (Maybe there is more to do..) +13.21.43. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.21.44. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.21.45. Executing OPT_MERGE pass (detect identical cells). +13.21.46. Executing OPT_SHARE pass. +13.21.47. Executing OPT_DFF pass (perform DFF optimizations). +13.21.48. Executing OPT_CLEAN pass (remove unused cells and wires). +13.21.49. Executing OPT_EXPR pass (perform const folding). +13.21.50. Rerunning OPT passes. (Maybe there is more to do..) +13.21.51. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.21.52. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.21.53. Executing OPT_MERGE pass (detect identical cells). +13.21.54. Executing OPT_SHARE pass. +13.21.55. Executing OPT_DFF pass (perform DFF optimizations). +13.21.56. Executing OPT_CLEAN pass (remove unused cells and wires). +13.21.57. Executing OPT_EXPR pass (perform const folding). +13.21.58. Rerunning OPT passes. (Maybe there is more to do..) +13.21.59. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.21.60. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.21.61. Executing OPT_MERGE pass (detect identical cells). +13.21.62. Executing OPT_SHARE pass. +13.21.63. Executing OPT_DFF pass (perform DFF optimizations). +13.21.64. Executing OPT_CLEAN pass (remove unused cells and wires). +13.21.65. Executing OPT_EXPR pass (perform const folding). +13.21.66. Rerunning OPT passes. (Maybe there is more to do..) +13.21.67. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.21.68. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.21.69. Executing OPT_MERGE pass (detect identical cells). +13.21.70. Executing OPT_SHARE pass. +13.21.71. Executing OPT_DFF pass (perform DFF optimizations). +13.21.72. Executing OPT_CLEAN pass (remove unused cells and wires). +13.21.73. Executing OPT_EXPR pass (perform const folding). +13.21.74. Rerunning OPT passes. (Maybe there is more to do..) +13.21.75. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.21.76. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.21.77. Executing OPT_MERGE pass (detect identical cells). +13.21.78. Executing OPT_SHARE pass. +13.21.79. Executing OPT_DFF pass (perform DFF optimizations). +13.21.80. Executing OPT_CLEAN pass (remove unused cells and wires). +13.21.81. Executing OPT_EXPR pass (perform const folding). +13.21.82. Rerunning OPT passes. (Maybe there is more to do..) +13.21.83. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.21.84. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.21.85. Executing OPT_MERGE pass (detect identical cells). +13.21.86. Executing OPT_SHARE pass. +13.21.87. Executing OPT_DFF pass (perform DFF optimizations). +13.21.88. Executing OPT_CLEAN pass (remove unused cells and wires). +13.21.89. Executing OPT_EXPR pass (perform const folding). +13.21.90. Rerunning OPT passes. (Maybe there is more to do..) +13.21.91. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +13.21.92. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +13.21.93. Executing OPT_MERGE pass (detect identical cells). +13.21.94. Executing OPT_SHARE pass. +13.21.95. Executing OPT_DFF pass (perform DFF optimizations). +13.21.96. Executing OPT_CLEAN pass (remove unused cells and wires). +13.21.97. Executing OPT_EXPR pass (perform const folding). +13.21.98. Finished OPT passes. (There is nothing left to do.) +13.22. Executing TECHMAP pass (map to technology primitives). +13.22.1. Executing Verilog-2005 frontend: /home/wtf/projects/OpenROAD-flow-scripts/tools/install/yosys/bin/../share/yosys/techmap.v +13.22.2. Continuing TECHMAP pass. +13.23. Executing OPT pass (performing simple optimizations). +13.23.1. Executing OPT_EXPR pass (perform const folding). +13.23.2. Executing OPT_MERGE pass (detect identical cells). +13.23.3. Executing OPT_DFF pass (perform DFF optimizations). +13.23.4. Executing OPT_CLEAN pass (remove unused cells and wires). +13.23.5. Finished fast OPT passes. +13.24. Executing ABC pass (technology mapping using ABC). +13.24.1. Extracting gate netlist of module `\A2P_WB' to `/input.blif'.. +13.25. Executing OPT pass (performing simple optimizations). +13.25.1. Executing OPT_EXPR pass (perform const folding). +13.25.2. Executing OPT_MERGE pass (detect identical cells). +13.25.3. Executing OPT_DFF pass (perform DFF optimizations). +13.25.4. Executing OPT_CLEAN pass (remove unused cells and wires). +13.25.5. Rerunning OPT passes. (Removed registers in this run.) +13.25.6. Executing OPT_EXPR pass (perform const folding). +13.25.7. Executing OPT_MERGE pass (detect identical cells). +13.25.8. Executing OPT_DFF pass (perform DFF optimizations). +13.25.9. Executing OPT_CLEAN pass (remove unused cells and wires). +13.25.10. Rerunning OPT passes. (Removed registers in this run.) +13.25.11. Executing OPT_EXPR pass (perform const folding). +13.25.12. Executing OPT_MERGE pass (detect identical cells). +13.25.13. Executing OPT_DFF pass (perform DFF optimizations). +13.25.14. Executing OPT_CLEAN pass (remove unused cells and wires). +13.25.15. Rerunning OPT passes. (Removed registers in this run.) +13.25.16. Executing OPT_EXPR pass (perform const folding). +13.25.17. Executing OPT_MERGE pass (detect identical cells). +13.25.18. Executing OPT_DFF pass (perform DFF optimizations). +13.25.19. Executing OPT_CLEAN pass (remove unused cells and wires). +13.25.20. Rerunning OPT passes. (Removed registers in this run.) +13.25.21. Executing OPT_EXPR pass (perform const folding). +13.25.22. Executing OPT_MERGE pass (detect identical cells). +13.25.23. Executing OPT_DFF pass (perform DFF optimizations). +13.25.24. Executing OPT_CLEAN pass (remove unused cells and wires). +13.25.25. Rerunning OPT passes. (Removed registers in this run.) +13.25.26. Executing OPT_EXPR pass (perform const folding). +13.25.27. Executing OPT_MERGE pass (detect identical cells). +13.25.28. Executing OPT_DFF pass (perform DFF optimizations). +13.25.29. Executing OPT_CLEAN pass (remove unused cells and wires). +13.25.30. Finished fast OPT passes. +13.26. Executing HIERARCHY pass (managing design hierarchy). +13.26.1. Analyzing design hierarchy.. +13.26.2. Analyzing design hierarchy.. +13.27. Printing statistics. +13.28. Executing CHECK pass (checking for obvious problems). +14. Executing OPT pass (performing simple optimizations). +14.1. Executing OPT_EXPR pass (perform const folding). +14.2. Executing OPT_MERGE pass (detect identical cells). +14.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +14.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +14.5. Executing OPT_MERGE pass (detect identical cells). +14.6. Executing OPT_DFF pass (perform DFF optimizations). +14.7. Executing OPT_CLEAN pass (remove unused cells and wires). +14.8. Executing OPT_EXPR pass (perform const folding). +14.9. Rerunning OPT passes. (Maybe there is more to do..) +14.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +14.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +14.12. Executing OPT_MERGE pass (detect identical cells). +14.13. Executing OPT_DFF pass (perform DFF optimizations). +14.14. Executing OPT_CLEAN pass (remove unused cells and wires). +14.15. Executing OPT_EXPR pass (perform const folding). +14.16. Finished OPT passes. (There is nothing left to do.) +15. Executing EXTRACT_FA pass (find and extract full/half adders). +16. Executing TECHMAP pass (map to technology primitives). +16.1. Executing Verilog-2005 frontend: ./platforms/sky130hd/cells_adders_hd.v +16.2. Continuing TECHMAP pass. +17. Executing TECHMAP pass (map to technology primitives). +17.1. Executing Verilog-2005 frontend: /home/wtf/projects/OpenROAD-flow-scripts/tools/install/yosys/bin/../share/yosys/techmap.v +17.2. Continuing TECHMAP pass. +18. Executing OPT pass (performing simple optimizations). +18.1. Executing OPT_EXPR pass (perform const folding). +18.2. Executing OPT_MERGE pass (detect identical cells). +18.3. Executing OPT_DFF pass (perform DFF optimizations). +18.4. Executing OPT_CLEAN pass (remove unused cells and wires). +18.5. Finished fast OPT passes. +19. Executing TECHMAP pass (map to technology primitives). +19.1. Executing Verilog-2005 frontend: ./platforms/sky130hd/cells_latch_hd.v +19.2. Continuing TECHMAP pass. +20. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfbbn_1' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfbbn_2' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfrtn_1' - skipping. +Warning: Found unsupported expression 'D&DE|IQ&!DE' in pin attribute of cell 'sky130_fd_sc_hd__edfxbp_1' - skipping. +Warning: Found unsupported expression 'D&DE|IQ&!DE' in pin attribute of cell 'sky130_fd_sc_hd__edfxtp_1' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfbbp_1' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfrbp_1' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfrbp_2' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfrtp_1' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfrtp_2' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfrtp_4' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfsbp_1' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfsbp_2' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfstp_1' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfstp_2' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfstp_4' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfxbp_1' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfxbp_2' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfxtp_1' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfxtp_2' - skipping. +Warning: Found unsupported expression 'D&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sdfxtp_4' - skipping. +Warning: Found unsupported expression 'D&DE&!SCE|IQ&!DE&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sedfxbp_1' - skipping. +Warning: Found unsupported expression 'D&DE&!SCE|IQ&!DE&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sedfxbp_2' - skipping. +Warning: Found unsupported expression 'D&DE&!SCE|IQ&!DE&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sedfxtp_1' - skipping. +Warning: Found unsupported expression 'D&DE&!SCE|IQ&!DE&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sedfxtp_2' - skipping. +Warning: Found unsupported expression 'D&DE&!SCE|IQ&!DE&!SCE|SCD&SCE' in pin attribute of cell 'sky130_fd_sc_hd__sedfxtp_4' - skipping. +20.1. Executing DFFLEGALIZE pass (convert FFs to types supported by the target). +21. Executing OPT pass (performing simple optimizations). +21.1. Executing OPT_EXPR pass (perform const folding). +21.2. Executing OPT_MERGE pass (detect identical cells). +21.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +21.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +21.5. Executing OPT_MERGE pass (detect identical cells). +21.6. Executing OPT_DFF pass (perform DFF optimizations). +21.7. Executing OPT_CLEAN pass (remove unused cells and wires). +21.8. Executing OPT_EXPR pass (perform const folding). +21.9. Rerunning OPT passes. (Maybe there is more to do..) +21.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). +21.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). +21.12. Executing OPT_MERGE pass (detect identical cells). +21.13. Executing OPT_DFF pass (perform DFF optimizations). +21.14. Executing OPT_CLEAN pass (remove unused cells and wires). +21.15. Executing OPT_EXPR pass (perform const folding). +21.16. Finished OPT passes. (There is nothing left to do.) +Using ABC speed script. +22. Executing ABC pass (technology mapping using ABC). +22.1. Extracting gate netlist of module `\A2P_WB' to `/input.blif'.. +22.1.1. Executing ABC. +22.1.2. Re-integrating ABC results. +23. Executing SETUNDEF pass (replace undef values with defined constants). +24. Executing SPLITNETS pass (splitting up multi-bit signals). +25. Executing OPT_CLEAN pass (remove unused cells and wires). +26. Executing HILOMAP pass (mapping to constant drivers). +27. Executing INSBUF pass (insert buffer cells for connected wires). +28. Executing CHECK pass (checking for obvious problems). +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[9]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[9].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[8]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[8].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[7]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[7].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[6]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[6].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[5]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[5].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[4]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[4].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[3]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[3].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[31]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[31].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[30]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[30].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[2]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[2].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[29]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[29].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[28]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[28].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[27]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[27].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[26]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[26].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[25]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[25].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[24]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[24].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[23]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[23].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[22]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[22].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[21]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[21].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[20]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[20].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[1]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[1].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[19]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[19].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[18]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[18].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[17]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[17].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[16]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[16].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[15]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[15].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[14]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[14].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[13]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[13].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[12]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[12].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[11]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[11].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[10]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[10].OBUF1 (sky130_fd_sc_hd__ebufn_2) +Warning: multiple conflicting drivers for A2P_WB.\RegFilePlugin_regFile.rd_dat_0[0]: + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) + port Z[0] of cell RegFilePlugin_regFile.regFile01.genblk1.RFW0.BIT[0].OBUF1 (sky130_fd_sc_hd__ebufn_2) +29. Printing statistics. +30. Executing Verilog backend. +Warnings: 130 unique messages, 370 total +End of script. Logfile hash: 7216cb6dd1, CPU: user 84.87s system 0.50s, MEM: 1376.34 MB peak +Yosys 0.9+3672 (git sha1 014c7e26b, gcc 9.3.0-17ubuntu1~20.04 -fPIC -Os) +Time spent: 60% 2x abc (130 sec), 9% 55x opt_expr (20 sec), ... +Elapsed time: 3:34.23[h:]min:sec. CPU time: user 211.36 sys 2.57 (99%). Peak memory: 1409372KB. diff --git a/build/openroad/logs/2_1_floorplan.json b/build/openroad/logs/2_1_floorplan.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/2_1_floorplan.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/2_1_floorplan.log b/build/openroad/logs/2_1_floorplan.log new file mode 100644 index 0000000..40e1a46 --- /dev/null +++ b/build/openroad/logs/2_1_floorplan.log @@ -0,0 +1,335 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +number instances in verilog is 86814 +[INFO IFP-0001] Added 1535 rows of 10390 sites. +[INFO RSZ-0026] Removed 8252 buffers. +Default units for flow + time 1ns + capacitance 1pF + resistance 1kohm + voltage 1v + current 1mA + power 1nW + distance 1um + +========================================================================== +floorplan final report_checks -path_delay min +-------------------------------------------------------------------------- +Startpoint: externalResetVector[1] (input port clocked by clk) +Endpoint: _145458_ (removal check against rising-edge clock clk) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 v input external delay + 0.00 0.00 1.00 v externalResetVector[1] (in) + 2 0.00 externalResetVector[1] (net) + 0.00 0.00 1.00 v _122265_/B (sky130_fd_sc_hd__nand2_1) + 0.05 0.05 1.05 ^ _122265_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 _000016_ (net) + 0.05 0.01 1.06 ^ _145458_/SET_B (sky130_fd_sc_hd__dfbbp_1) + 1.06 data arrival time + + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 clock reconvergence pessimism + 0.00 ^ _145458_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.11 0.11 library removal time + 0.11 data required time +----------------------------------------------------------------------------- + 0.11 data required time + -1.06 data arrival time +----------------------------------------------------------------------------- + 0.95 slack (MET) + + +Startpoint: _144888_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _122419_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _144888_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.05 0.29 0.29 ^ _144888_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 dataCache_1_.io_mem_cmd_payload_data[0] (net) + 0.05 0.00 0.29 ^ _122419_/D (sky130_fd_sc_hd__dfxtp_1) + 0.29 data arrival time + + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 clock reconvergence pessimism + 0.00 ^ _122419_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.04 -0.04 library hold time + -0.04 data required time +----------------------------------------------------------------------------- + -0.04 data required time + -0.29 data arrival time +----------------------------------------------------------------------------- + 0.33 slack (MET) + + + +========================================================================== +floorplan final report_checks -path_delay max +-------------------------------------------------------------------------- +Startpoint: externalResetVector[1] (input port clocked by clk) +Endpoint: _145458_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[1] (in) + 2 0.00 externalResetVector[1] (net) + 0.00 0.00 1.00 ^ _122230_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.04 0.07 1.07 ^ _122230_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000015_ (net) + 0.04 0.00 1.07 ^ _145458_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 1.07 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _145458_/CLK (sky130_fd_sc_hd__dfbbp_1) + -0.07 49.93 library recovery time + 49.93 data required time +----------------------------------------------------------------------------- + 49.93 data required time + -1.07 data arrival time +----------------------------------------------------------------------------- + 48.85 slack (MET) + + +Startpoint: _143560_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _135893_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _143560_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.15 0.36 0.36 ^ _143560_/Q (sky130_fd_sc_hd__dfxtp_1) + 5 0.01 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[16] (net) + 0.15 0.01 0.37 ^ _061283_/B (sky130_fd_sc_hd__xor2_1) + 0.15 0.18 0.55 ^ _061283_/X (sky130_fd_sc_hd__xor2_1) + 1 0.00 _025146_ (net) + 0.15 0.01 0.56 ^ _061285_/C (sky130_fd_sc_hd__nor4_2) + 0.06 0.08 0.64 v _061285_/Y (sky130_fd_sc_hd__nor4_2) + 4 0.01 _025148_ (net) + 0.06 0.00 0.64 v _061286_/B (sky130_fd_sc_hd__and2_0) + 0.12 0.22 0.86 v _061286_/X (sky130_fd_sc_hd__and2_0) + 4 0.01 _025149_ (net) + 0.12 0.01 0.86 v _077118_/A1 (sky130_fd_sc_hd__a21oi_2) + 3.52 2.65 3.51 ^ _077118_/Y (sky130_fd_sc_hd__a21oi_2) + 117 0.31 _029150_ (net) + 3.52 0.00 3.51 ^ _079640_/A1 (sky130_fd_sc_hd__o21a_1) + 192.27 134.78 138.29 ^ _079640_/X (sky130_fd_sc_hd__o21a_1) + 5371 21.05 _029825_ (net) + 192.27 0.00 138.30 ^ _079726_/B (sky130_fd_sc_hd__nor2_1) + 167.08 431.17 569.47 v _079726_/Y (sky130_fd_sc_hd__nor2_1) + 363 0.85 _029911_ (net) + 167.08 0.00 569.47 v _098672_/B2 (sky130_fd_sc_hd__a221oi_1) + 21.53 43.69 613.16 ^ _098672_/Y (sky130_fd_sc_hd__a221oi_1) + 1 0.00 _048845_ (net) + 21.53 0.00 613.16 ^ _098673_/B1 (sky130_fd_sc_hd__o21ai_0) + 11.56 1.30 614.46 v _098673_/Y (sky130_fd_sc_hd__o21ai_0) + 1 0.00 _048846_ (net) + 11.56 0.00 614.47 v _098688_/A2 (sky130_fd_sc_hd__a311oi_1) + 1.14 2.88 617.35 ^ _098688_/Y (sky130_fd_sc_hd__a311oi_1) + 1 0.00 _048861_ (net) + 1.14 0.00 617.35 ^ _098689_/D1 (sky130_fd_sc_hd__a2111oi_0) + 0.21 0.14 617.49 v _098689_/Y (sky130_fd_sc_hd__a2111oi_0) + 1 0.00 _048862_ (net) + 0.21 0.00 617.49 v _098690_/B1 (sky130_fd_sc_hd__a41o_1) + 0.28 0.26 617.75 v _098690_/X (sky130_fd_sc_hd__a41o_1) + 1 0.00 _048863_ (net) + 0.28 0.00 617.76 v _098691_/C1 (sky130_fd_sc_hd__a211oi_1) + 0.20 0.25 618.01 ^ _098691_/Y (sky130_fd_sc_hd__a211oi_1) + 1 0.00 _048864_ (net) + 0.20 0.00 618.01 ^ _099021_/B1 (sky130_fd_sc_hd__a311o_1) + 0.05 0.14 618.15 ^ _099021_/X (sky130_fd_sc_hd__a311o_1) + 1 0.00 _013487_ (net) + 0.05 0.00 618.15 ^ _135893_/D (sky130_fd_sc_hd__dfxtp_1) + 618.15 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _135893_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.06 49.94 library setup time + 49.94 data required time +----------------------------------------------------------------------------- + 49.94 data required time + -618.15 data arrival time +----------------------------------------------------------------------------- + -568.22 slack (VIOLATED) + + + +========================================================================== +floorplan final report_checks -unconstrained +-------------------------------------------------------------------------- +Startpoint: externalResetVector[1] (input port clocked by clk) +Endpoint: _145458_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[1] (in) + 2 0.00 externalResetVector[1] (net) + 0.00 0.00 1.00 ^ _122230_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.04 0.07 1.07 ^ _122230_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000015_ (net) + 0.04 0.00 1.07 ^ _145458_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 1.07 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _145458_/CLK (sky130_fd_sc_hd__dfbbp_1) + -0.07 49.93 library recovery time + 49.93 data required time +----------------------------------------------------------------------------- + 49.93 data required time + -1.07 data arrival time +----------------------------------------------------------------------------- + 48.85 slack (MET) + + +Startpoint: _143560_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _135893_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _143560_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.15 0.36 0.36 ^ _143560_/Q (sky130_fd_sc_hd__dfxtp_1) + 5 0.01 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[16] (net) + 0.15 0.01 0.37 ^ _061283_/B (sky130_fd_sc_hd__xor2_1) + 0.15 0.18 0.55 ^ _061283_/X (sky130_fd_sc_hd__xor2_1) + 1 0.00 _025146_ (net) + 0.15 0.01 0.56 ^ _061285_/C (sky130_fd_sc_hd__nor4_2) + 0.06 0.08 0.64 v _061285_/Y (sky130_fd_sc_hd__nor4_2) + 4 0.01 _025148_ (net) + 0.06 0.00 0.64 v _061286_/B (sky130_fd_sc_hd__and2_0) + 0.12 0.22 0.86 v _061286_/X (sky130_fd_sc_hd__and2_0) + 4 0.01 _025149_ (net) + 0.12 0.01 0.86 v _077118_/A1 (sky130_fd_sc_hd__a21oi_2) + 3.52 2.65 3.51 ^ _077118_/Y (sky130_fd_sc_hd__a21oi_2) + 117 0.31 _029150_ (net) + 3.52 0.00 3.51 ^ _079640_/A1 (sky130_fd_sc_hd__o21a_1) + 192.27 134.78 138.29 ^ _079640_/X (sky130_fd_sc_hd__o21a_1) + 5371 21.05 _029825_ (net) + 192.27 0.00 138.30 ^ _079726_/B (sky130_fd_sc_hd__nor2_1) + 167.08 431.17 569.47 v _079726_/Y (sky130_fd_sc_hd__nor2_1) + 363 0.85 _029911_ (net) + 167.08 0.00 569.47 v _098672_/B2 (sky130_fd_sc_hd__a221oi_1) + 21.53 43.69 613.16 ^ _098672_/Y (sky130_fd_sc_hd__a221oi_1) + 1 0.00 _048845_ (net) + 21.53 0.00 613.16 ^ _098673_/B1 (sky130_fd_sc_hd__o21ai_0) + 11.56 1.30 614.46 v _098673_/Y (sky130_fd_sc_hd__o21ai_0) + 1 0.00 _048846_ (net) + 11.56 0.00 614.47 v _098688_/A2 (sky130_fd_sc_hd__a311oi_1) + 1.14 2.88 617.35 ^ _098688_/Y (sky130_fd_sc_hd__a311oi_1) + 1 0.00 _048861_ (net) + 1.14 0.00 617.35 ^ _098689_/D1 (sky130_fd_sc_hd__a2111oi_0) + 0.21 0.14 617.49 v _098689_/Y (sky130_fd_sc_hd__a2111oi_0) + 1 0.00 _048862_ (net) + 0.21 0.00 617.49 v _098690_/B1 (sky130_fd_sc_hd__a41o_1) + 0.28 0.26 617.75 v _098690_/X (sky130_fd_sc_hd__a41o_1) + 1 0.00 _048863_ (net) + 0.28 0.00 617.76 v _098691_/C1 (sky130_fd_sc_hd__a211oi_1) + 0.20 0.25 618.01 ^ _098691_/Y (sky130_fd_sc_hd__a211oi_1) + 1 0.00 _048864_ (net) + 0.20 0.00 618.01 ^ _099021_/B1 (sky130_fd_sc_hd__a311o_1) + 0.05 0.14 618.15 ^ _099021_/X (sky130_fd_sc_hd__a311o_1) + 1 0.00 _013487_ (net) + 0.05 0.00 618.15 ^ _135893_/D (sky130_fd_sc_hd__dfxtp_1) + 618.15 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _135893_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.06 49.94 library setup time + 49.94 data required time +----------------------------------------------------------------------------- + 49.94 data required time + -618.15 data arrival time +----------------------------------------------------------------------------- + -568.22 slack (VIOLATED) + + + +========================================================================== +floorplan final report_tns +-------------------------------------------------------------------------- +tns -12758.62 + +========================================================================== +floorplan final report_wns +-------------------------------------------------------------------------- +wns -568.22 + +========================================================================== +floorplan final report_worst_slack +-------------------------------------------------------------------------- +worst slack -568.22 + +========================================================================== +floorplan final report_clock_skew +-------------------------------------------------------------------------- +Clock clk +Latency CRPR Skew +_145458_/CLK ^ + 0.00 +_143514_/CLK ^ + 0.00 0.00 0.00 + + +========================================================================== +floorplan final report_power +-------------------------------------------------------------------------- +Group Internal Switching Leakage Total + Power Power Power Power +---------------------------------------------------------------- +Sequential 2.00e-02 6.00e-04 2.04e-07 2.06e-02 57.4% +Combinational 1.39e-02 1.36e-03 1.65e-07 1.53e-02 42.6% +Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +---------------------------------------------------------------- +Total 3.40e-02 1.96e-03 3.69e-07 3.59e-02 100.0% + 94.5% 5.5% 0.0% + +========================================================================== +floorplan final report_design_area +-------------------------------------------------------------------------- +Design area 941804 u^2 5% utilization. + +Elapsed time: 0:11.88[h:]min:sec. CPU time: user 11.48 sys 0.16 (97%). Peak memory: 330508KB. diff --git a/build/openroad/logs/2_2_floorplan_io.json b/build/openroad/logs/2_2_floorplan_io.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/2_2_floorplan_io.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/2_2_floorplan_io.log b/build/openroad/logs/2_2_floorplan_io.log new file mode 100644 index 0000000..2183978 --- /dev/null +++ b/build/openroad/logs/2_2_floorplan_io.log @@ -0,0 +1,21 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/2_1_floorplan.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 78562 components and 443582 component-terminals. +[INFO ODB-0133] Created 77777 nets and 286452 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/2_1_floorplan.def +Found 0 macro blocks. +Using 1u default distance from corners. +Using 2 tracks default min distance between IO pins. +[INFO PPL-0007] Random pin placement. +Elapsed time: 0:01.22[h:]min:sec. CPU time: user 1.10 sys 0.07 (96%). Peak memory: 190236KB. diff --git a/build/openroad/logs/2_3_tdms.json b/build/openroad/logs/2_3_tdms.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/2_3_tdms.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/2_3_tdms_place.log b/build/openroad/logs/2_3_tdms_place.log new file mode 100644 index 0000000..853c7c9 --- /dev/null +++ b/build/openroad/logs/2_3_tdms_place.log @@ -0,0 +1,18 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/2_2_floorplan_io.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 78562 components and 443582 component-terminals. +[INFO ODB-0133] Created 77777 nets and 286452 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/2_2_floorplan_io.def +No macros found: Skipping global_placement +Elapsed time: 0:01.24[h:]min:sec. CPU time: user 1.20 sys 0.00 (96%). Peak memory: 187832KB. diff --git a/build/openroad/logs/2_4_mplace.json b/build/openroad/logs/2_4_mplace.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/2_4_mplace.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/2_4_mplace.log b/build/openroad/logs/2_4_mplace.log new file mode 100644 index 0000000..2b52769 --- /dev/null +++ b/build/openroad/logs/2_4_mplace.log @@ -0,0 +1,18 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/2_3_floorplan_tdms.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 78562 components and 443582 component-terminals. +[INFO ODB-0133] Created 77777 nets and 286452 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/2_3_floorplan_tdms.def +No macros found: Skipping macro_placement +Elapsed time: 0:01.22[h:]min:sec. CPU time: user 1.13 sys 0.04 (97%). Peak memory: 187668KB. diff --git a/build/openroad/logs/2_5_tapcell.json b/build/openroad/logs/2_5_tapcell.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/2_5_tapcell.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/2_5_tapcell.log b/build/openroad/logs/2_5_tapcell.log new file mode 100644 index 0000000..a06a715 --- /dev/null +++ b/build/openroad/logs/2_5_tapcell.log @@ -0,0 +1,22 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/2_4_floorplan_macro.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 78562 components and 443582 component-terminals. +[INFO ODB-0133] Created 77777 nets and 286452 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/2_4_floorplan_macro.def +[WARNING TAP-0014] endcap_cpp option is deprecated. +[INFO TAP-0001] Found 0 macro blocks. +[INFO TAP-0002] Original rows: 1535 +[INFO TAP-0003] Created 0 rows for a total of 1535 rows. +[INFO TAP-0005] Inserted 265901 tapcells. +Elapsed time: 0:01.71[h:]min:sec. CPU time: user 1.58 sys 0.08 (97%). Peak memory: 226752KB. diff --git a/build/openroad/logs/2_6_pdn.json b/build/openroad/logs/2_6_pdn.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/2_6_pdn.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/2_6_pdn.log b/build/openroad/logs/2_6_pdn.log new file mode 100644 index 0000000..a357acb --- /dev/null +++ b/build/openroad/logs/2_6_pdn.log @@ -0,0 +1,41 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/2_5_floorplan_tapcell.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0094] Created 100000 Insts +[INFO ODB-0094] Created 200000 Insts +[INFO ODB-0094] Created 300000 Insts +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 344463 components and 975384 component-terminals. +[INFO ODB-0133] Created 77777 nets and 286452 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/2_5_floorplan_tapcell.def +[INFO PDN-0016] Power Delivery Network Generator: Generating PDN + config: ./platforms/sky130hd/pdn.cfg +[INFO PDN-0008] Design name is A2P_WB. +[INFO PDN-0009] Reading technology data. +[INFO PDN-0011] ****** INFO ****** +Type: stdcell, grid + Stdcell Rails + Layer: met1 - width: 0.480 pitch: 5.440 offset: 0.000 + Straps + Layer: met4 - width: 1.600 pitch: 27.140 offset: 13.570 + Layer: met5 - width: 1.600 pitch: 27.200 offset: 13.600 + Connect: {met1 met4} {met4 met5} +Type: macro, CORE_macro_grid_1 + Macro orientation: R0 R180 MX MY + Connect: {met4_PIN_ver met5} +Type: macro, CORE_macro_grid_2 + Macro orientation: R90 R270 MXR90 MYR90 + Connect: {met4_PIN_hor met5} +[INFO PDN-0012] **** END INFO **** +[INFO PDN-0013] Inserting stdcell grid - grid. +[INFO PDN-0015] Writing to database. +Elapsed time: 0:32.96[h:]min:sec. CPU time: user 31.50 sys 1.38 (99%). Peak memory: 3427468KB. diff --git a/build/openroad/logs/3_1_place_gp.json b/build/openroad/logs/3_1_place_gp.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/3_1_place_gp.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/3_1_place_gp.log b/build/openroad/logs/3_1_place_gp.log new file mode 100644 index 0000000..2fbd1b2 --- /dev/null +++ b/build/openroad/logs/3_1_place_gp.log @@ -0,0 +1,492 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/2_floorplan.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0094] Created 100000 Insts +[INFO ODB-0094] Created 200000 Insts +[INFO ODB-0094] Created 300000 Insts +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 344463 components and 975384 component-terminals. +[INFO ODB-0132] Created 2 special nets and 688926 connections. +[INFO ODB-0133] Created 77777 nets and 286452 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/2_floorplan.def +[INFO GPL-0002] DBU: 1000 +[INFO GPL-0003] SiteSize: 460 2720 +[INFO GPL-0004] CoreAreaLxLy: 210220 212160 +[INFO GPL-0005] CoreAreaUxUy: 4989620 4387360 +[INFO GPL-0006] NumInstances: 344463 +[INFO GPL-0007] NumPlaceInstances: 78562 +[INFO GPL-0008] NumFixedInstances: 265901 +[INFO GPL-0009] NumDummyInstances: 0 +[INFO GPL-0010] NumNets: 77777 +[INFO GPL-0011] NumPins: 286706 +[INFO GPL-0012] DieAreaLxLy: 0 0 +[INFO GPL-0013] DieAreaUxUy: 5200000 4609140 +[INFO GPL-0014] CoreAreaLxLy: 210220 212160 +[INFO GPL-0015] CoreAreaUxUy: 4989620 4387360 +[INFO GPL-0016] CoreArea: 19954950880000 +[INFO GPL-0017] NonPlaceInstsArea: 332695331200 +[INFO GPL-0018] PlaceInstsArea: 1728178710400 +[INFO GPL-0019] Util(%): 8.81 +[INFO GPL-0020] StdInstsArea: 1728178710400 +[INFO GPL-0021] MacroInstsArea: 0 +[InitialPlace] Iter: 1 CG Error: 0.00850115 HPWL: 1578098575 +[InitialPlace] Iter: 2 CG Error: 0.00011517 HPWL: 1157650885 +[InitialPlace] Iter: 3 CG Error: 0.00002250 HPWL: 1124443183 +[InitialPlace] Iter: 4 CG Error: 0.00000630 HPWL: 1114752247 +[InitialPlace] Iter: 5 CG Error: 0.00000383 HPWL: 1111906825 +[INFO GPL-0031] FillerInit: NumGCells: 536760 +[INFO GPL-0032] FillerInit: NumGNets: 77777 +[INFO GPL-0033] FillerInit: NumGPins: 286706 +[INFO GPL-0023] TargetDensity: 0.60 +[INFO GPL-0024] AveragePlaceInstArea: 21997641 +[INFO GPL-0025] IdealBinArea: 36662732 +[INFO GPL-0026] IdealBinCnt: 544284 +[INFO GPL-0027] TotalBinArea: 19954950880000 +[INFO GPL-0028] BinCnt: 512 512 +[INFO GPL-0029] BinSize: 9335 8155 +[INFO GPL-0030] NumBins: 262144 +[NesterovSolve] Iter: 1 overflow: 0.996147 HPWL: 548926693 +[NesterovSolve] Iter: 10 overflow: 0.987203 HPWL: 632425412 +[NesterovSolve] Iter: 20 overflow: 0.979323 HPWL: 729609532 +[NesterovSolve] Iter: 30 overflow: 0.977077 HPWL: 725711076 +[NesterovSolve] Iter: 40 overflow: 0.976295 HPWL: 723570420 +[NesterovSolve] Iter: 50 overflow: 0.975941 HPWL: 719413738 +[NesterovSolve] Iter: 60 overflow: 0.975887 HPWL: 713447664 +[NesterovSolve] Iter: 70 overflow: 0.975689 HPWL: 712095673 +[NesterovSolve] Iter: 80 overflow: 0.97586 HPWL: 713423398 +[NesterovSolve] Iter: 90 overflow: 0.975927 HPWL: 711437993 +[NesterovSolve] Iter: 100 overflow: 0.975856 HPWL: 710835720 +[NesterovSolve] Iter: 110 overflow: 0.975769 HPWL: 710505240 +[NesterovSolve] Iter: 120 overflow: 0.97577 HPWL: 710471259 +[NesterovSolve] Iter: 130 overflow: 0.975741 HPWL: 710755191 +[NesterovSolve] Iter: 140 overflow: 0.975628 HPWL: 712647202 +[NesterovSolve] Iter: 150 overflow: 0.975677 HPWL: 719704294 +[NesterovSolve] Iter: 160 overflow: 0.975574 HPWL: 738130373 +[NesterovSolve] Iter: 170 overflow: 0.975251 HPWL: 766609491 +[NesterovSolve] Iter: 180 overflow: 0.974668 HPWL: 800267501 +[NesterovSolve] Iter: 190 overflow: 0.973951 HPWL: 836128766 +[NesterovSolve] Iter: 200 overflow: 0.972519 HPWL: 875331943 +[NesterovSolve] Iter: 210 overflow: 0.970312 HPWL: 923308764 +[NesterovSolve] Iter: 220 overflow: 0.966912 HPWL: 989544951 +[NesterovSolve] Iter: 230 overflow: 0.960995 HPWL: 1089566797 +[NesterovSolve] Iter: 240 overflow: 0.951896 HPWL: 1237925605 +[NesterovSolve] Iter: 250 overflow: 0.937812 HPWL: 1432077509 +[NesterovSolve] Iter: 260 overflow: 0.919668 HPWL: 1662080946 +[NesterovSolve] Iter: 270 overflow: 0.897175 HPWL: 1869229426 +[NesterovSolve] Iter: 280 overflow: 0.872271 HPWL: 1975668436 +[NesterovSolve] Iter: 290 overflow: 0.846411 HPWL: 1953953181 +[NesterovSolve] Iter: 300 overflow: 0.814004 HPWL: 1985306775 +[NesterovSolve] Iter: 310 overflow: 0.77601 HPWL: 2340307070 +[NesterovSolve] Iter: 320 overflow: 0.745222 HPWL: 2599045777 +[NesterovSolve] Iter: 330 overflow: 0.717832 HPWL: 2395080038 +[NesterovSolve] Iter: 340 overflow: 0.672248 HPWL: 2645284819 +[NesterovSolve] Iter: 350 overflow: 0.649095 HPWL: 2466165492 +[NesterovSolve] Iter: 360 overflow: 0.600172 HPWL: 2576003652 +[NesterovSolve] Snapshot saved at iter = 360 +[NesterovSolve] Iter: 370 overflow: 0.563795 HPWL: 2453239622 +[NesterovSolve] Iter: 380 overflow: 0.513661 HPWL: 2455737805 +[NesterovSolve] Iter: 390 overflow: 0.469066 HPWL: 2455148275 +[NesterovSolve] Iter: 400 overflow: 0.433779 HPWL: 2380517690 +[NesterovSolve] Iter: 410 overflow: 0.392919 HPWL: 2342947358 +[NesterovSolve] Iter: 420 overflow: 0.354071 HPWL: 2309462197 +[NesterovSolve] Iter: 430 overflow: 0.32213 HPWL: 2286557575 +[NesterovSolve] Iter: 440 overflow: 0.293149 HPWL: 2274658447 +[NesterovSolve] Iter: 450 overflow: 0.26558 HPWL: 2270686982 +[NesterovSolve] Iter: 460 overflow: 0.239111 HPWL: 2270607675 +[NesterovSolve] Iter: 470 overflow: 0.212833 HPWL: 2274828173 +[INFO GPL-0075] Routability numCall: 1 inflationIterCnt: 1 bloatIterCnt: 0 +[INFO GRT-0020] Min routing layer: met1 +[INFO GRT-0021] Max routing layer: met5 +[INFO GRT-0022] Global adjustment: 0% +[INFO GRT-0023] Grid origin: (0, 0) +[WARNING GRT-0043] No OR_DEFAULT vias defined. +[INFO GRT-0224] Chose via L1M1_PR as default. +[INFO GRT-0224] Chose via M1M2_PR as default. +[INFO GRT-0224] Chose via M2M3_PR as default. +[INFO GRT-0224] Chose via M3M4_PR as default. +[INFO GRT-0224] Chose via M4M5_PR as default. +[INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400 +[INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400 +[INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500 +[INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150 +[INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 1.0400 +[INFO GRT-0088] Layer met5 Track-Pitch = 3.4000 line-2-Via Pitch: 3.1100 +[INFO GRT-0003] Macros: 0 +[INFO GRT-0004] Blockages: 374499 +[INFO GRT-0019] Found 125 clock nets. +[INFO GRT-0001] Minimum degree: 2 +[INFO GRT-0002] Maximum degree: 23267 +[INFO GRT-0017] Processing 1080663 blockages on layer met1. +[INFO GRT-0017] Processing 352 blockages on layer met4. +[INFO GRT-0017] Processing 306 blockages on layer met5. + +[INFO GRT-0053] Routing resources analysis: + Routing Original Derated Resource +Layer Direction Resources Resources Reduction (%) +--------------------------------------------------------------- +li1 Vertical 0 0 0.00% +met1 Horizontal 10045020 5144846 48.78% +met2 Vertical 7533765 4522140 39.98% +met3 Horizontal 5022510 3018528 39.90% +met4 Vertical 3013506 1510488 49.88% +met5 Horizontal 1004502 502336 49.99% +--------------------------------------------------------------- + +[INFO GRT-0104] Minimal overflow 288 occurring at round 0. +[INFO GRT-0111] Final number of vias: 371714 +[INFO GRT-0112] Final usage 3D: 1764261 +[WARNING GRT-0115] Global routing finished with overflow. + +[INFO GRT-0096] Final congestion report: +Layer Resource Demand Usage (%) Max H / Max V / Total Overflow +--------------------------------------------------------------------------------------- +li1 0 1 0.00% 0 / 1 / 1 +met1 5144846 265565 5.16% 0 / 0 / 0 +met2 4522140 265654 5.87% 0 / 5 / 248 +met3 3018528 82049 2.72% 0 / 0 / 0 +met4 1510488 35618 2.36% 0 / 2 / 39 +met5 502336 232 0.05% 0 / 0 / 0 +--------------------------------------------------------------------------------------- +Total 14698338 649119 4.42% 0 / 8 / 288 + +[INFO GRT-0018] Total wirelength: 6003441 um +[INFO GPL-0036] TileLxLy: 0 0 +[INFO GPL-0037] TileSize: 6900 6900 +[INFO GPL-0038] TileCnt: 753 668 +[INFO GPL-0039] numRoutingLayers: 6 +[INFO GPL-0040] NumTiles: 503004 +[INFO GPL-0063] TotalRouteOverflowH2: 0.0 +[INFO GPL-0064] TotalRouteOverflowV2: 23.033336758613586 +[INFO GPL-0065] OverflowTileCnt2: 183 +[INFO GPL-0066] 0.5%RC: 0.9902508832851935 +[INFO GPL-0067] 1.0%RC: 0.9400452604500419 +[INFO GPL-0068] 2.0%RC: 0.8806628747663301 +[INFO GPL-0069] 5.0%RC: 0.7686673171967613 +[INFO GPL-0070] 0.5rcK: 1.0 +[INFO GPL-0071] 1.0rcK: 1.0 +[INFO GPL-0072] 2.0rcK: 0.0 +[INFO GPL-0073] 5.0rcK: 0.0 +[INFO GPL-0074] FinalRC: 0.9651481 +[NesterovSolve] Iter: 480 overflow: 0.188057 HPWL: 2283655958 +[NesterovSolve] Iter: 490 overflow: 0.166138 HPWL: 2287301711 +[NesterovSolve] Iter: 500 overflow: 0.145241 HPWL: 2292035555 +[NesterovSolve] Iter: 510 overflow: 0.124918 HPWL: 2297700101 +[NesterovSolve] Iter: 520 overflow: 0.108189 HPWL: 2294952442 +[NesterovSolve] Finished with Overflow: 0.098696 + +========================================================================== +global place report_checks -path_delay min +-------------------------------------------------------------------------- +Startpoint: externalResetVector[24] (input port clocked by clk) +Endpoint: _145481_ (removal check against rising-edge clock clk) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 v input external delay + 0.00 0.00 1.00 v externalResetVector[24] (in) + 2 0.30 externalResetVector[24] (net) + 0.43 0.22 1.22 v _122290_/B (sky130_fd_sc_hd__nand2_1) + 0.12 0.20 1.42 ^ _122290_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 _000062_ (net) + 0.12 0.00 1.42 ^ _145481_/SET_B (sky130_fd_sc_hd__dfbbp_1) + 1.42 data arrival time + + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 clock reconvergence pessimism + 0.00 ^ _145481_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.09 0.09 library removal time + 0.09 data required time +----------------------------------------------------------------------------- + 0.09 data required time + -1.42 data arrival time +----------------------------------------------------------------------------- + 1.32 slack (MET) + + +Startpoint: _144888_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _122419_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _144888_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.30 0.30 ^ _144888_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 dataCache_1_.io_mem_cmd_payload_data[0] (net) + 0.06 0.00 0.30 ^ _122419_/D (sky130_fd_sc_hd__dfxtp_1) + 0.30 data arrival time + + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 clock reconvergence pessimism + 0.00 ^ _122419_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.04 -0.04 library hold time + -0.04 data required time +----------------------------------------------------------------------------- + -0.04 data required time + -0.30 data arrival time +----------------------------------------------------------------------------- + 0.34 slack (MET) + + + +========================================================================== +global place report_checks -path_delay max +-------------------------------------------------------------------------- +Startpoint: externalResetVector[12] (input port clocked by clk) +Endpoint: _145469_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 v input external delay + 0.00 0.00 1.00 v externalResetVector[12] (in) + 2 0.67 externalResetVector[12] (net) + 2.07 1.04 2.04 v _122277_/B (sky130_fd_sc_hd__nand2_1) + 0.33 0.48 2.52 ^ _122277_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 _000038_ (net) + 0.33 0.00 2.52 ^ _145469_/SET_B (sky130_fd_sc_hd__dfbbp_1) + 2.52 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _145469_/CLK (sky130_fd_sc_hd__dfbbp_1) + -0.04 49.96 library recovery time + 49.96 data required time +----------------------------------------------------------------------------- + 49.96 data required time + -2.52 data arrival time +----------------------------------------------------------------------------- + 47.44 slack (MET) + + +Startpoint: _143572_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _135902_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _143572_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 0.42 0.42 ^ _143572_/Q (sky130_fd_sc_hd__dfxtp_1) + 5 0.02 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[28] (net) + 0.23 0.00 0.42 ^ _061278_/B (sky130_fd_sc_hd__xor2_1) + 0.44 0.41 0.83 ^ _061278_/X (sky130_fd_sc_hd__xor2_1) + 1 0.02 _025141_ (net) + 0.44 0.00 0.84 ^ _061280_/B (sky130_fd_sc_hd__nor3_1) + 0.22 0.28 1.12 v _061280_/Y (sky130_fd_sc_hd__nor3_1) + 4 0.04 _025143_ (net) + 0.22 0.00 1.12 v _061286_/A (sky130_fd_sc_hd__and2_0) + 0.14 0.29 1.41 v _061286_/X (sky130_fd_sc_hd__and2_0) + 4 0.02 _025149_ (net) + 0.14 0.00 1.41 v _077118_/A1 (sky130_fd_sc_hd__a21oi_2) + 18.63 13.41 14.82 ^ _077118_/Y (sky130_fd_sc_hd__a21oi_2) + 117 1.63 _029150_ (net) + 18.63 0.18 15.00 ^ _079640_/A1 (sky130_fd_sc_hd__o21a_1) + 305.83 220.53 235.53 ^ _079640_/X (sky130_fd_sc_hd__o21a_1) + 5371 35.58 _029825_ (net) + 306.11 7.98 243.51 ^ _079726_/B (sky130_fd_sc_hd__nor2_1) + 965.31 2851.46 3094.98 v _079726_/Y (sky130_fd_sc_hd__nor2_1) + 363 3.64 _029911_ (net) + 965.31 0.18 3095.15 v _108782_/A1 (sky130_fd_sc_hd__a32oi_1) + 121.72 289.01 3384.17 ^ _108782_/Y (sky130_fd_sc_hd__a32oi_1) + 1 0.01 _058946_ (net) + 121.72 0.00 3384.17 ^ _108786_/A3 (sky130_fd_sc_hd__a31oi_1) + 19.44 23.93 3408.09 v _108786_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.05 _058950_ (net) + 19.44 0.01 3408.10 v _108787_/A2 (sky130_fd_sc_hd__o21ai_0) + 3.23 5.20 3413.31 ^ _108787_/Y (sky130_fd_sc_hd__o21ai_0) + 1 0.00 _058951_ (net) + 3.23 0.00 3413.31 ^ _108788_/D (sky130_fd_sc_hd__nor4_1) + 1.88 1.85 3415.16 v _108788_/Y (sky130_fd_sc_hd__nor4_1) + 1 0.11 _058952_ (net) + 1.88 0.04 3415.19 v _108789_/B1 (sky130_fd_sc_hd__a211oi_1) + 1.50 1.86 3417.05 ^ _108789_/Y (sky130_fd_sc_hd__a211oi_1) + 1 0.05 _058953_ (net) + 1.50 0.01 3417.06 ^ _109710_/A2 (sky130_fd_sc_hd__a31o_1) + 0.07 0.26 3417.32 ^ _109710_/X (sky130_fd_sc_hd__a31o_1) + 1 0.00 _013496_ (net) + 0.07 0.00 3417.32 ^ _135902_/D (sky130_fd_sc_hd__dfxtp_1) + 3417.32 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _135902_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.07 49.93 library setup time + 49.93 data required time +----------------------------------------------------------------------------- + 49.93 data required time + -3417.32 data arrival time +----------------------------------------------------------------------------- + -3367.39 slack (VIOLATED) + + + +========================================================================== +global place report_checks -unconstrained +-------------------------------------------------------------------------- +Startpoint: externalResetVector[12] (input port clocked by clk) +Endpoint: _145469_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 v input external delay + 0.00 0.00 1.00 v externalResetVector[12] (in) + 2 0.67 externalResetVector[12] (net) + 2.07 1.04 2.04 v _122277_/B (sky130_fd_sc_hd__nand2_1) + 0.33 0.48 2.52 ^ _122277_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 _000038_ (net) + 0.33 0.00 2.52 ^ _145469_/SET_B (sky130_fd_sc_hd__dfbbp_1) + 2.52 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _145469_/CLK (sky130_fd_sc_hd__dfbbp_1) + -0.04 49.96 library recovery time + 49.96 data required time +----------------------------------------------------------------------------- + 49.96 data required time + -2.52 data arrival time +----------------------------------------------------------------------------- + 47.44 slack (MET) + + +Startpoint: _143572_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _135902_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _143572_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 0.42 0.42 ^ _143572_/Q (sky130_fd_sc_hd__dfxtp_1) + 5 0.02 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[28] (net) + 0.23 0.00 0.42 ^ _061278_/B (sky130_fd_sc_hd__xor2_1) + 0.44 0.41 0.83 ^ _061278_/X (sky130_fd_sc_hd__xor2_1) + 1 0.02 _025141_ (net) + 0.44 0.00 0.84 ^ _061280_/B (sky130_fd_sc_hd__nor3_1) + 0.22 0.28 1.12 v _061280_/Y (sky130_fd_sc_hd__nor3_1) + 4 0.04 _025143_ (net) + 0.22 0.00 1.12 v _061286_/A (sky130_fd_sc_hd__and2_0) + 0.14 0.29 1.41 v _061286_/X (sky130_fd_sc_hd__and2_0) + 4 0.02 _025149_ (net) + 0.14 0.00 1.41 v _077118_/A1 (sky130_fd_sc_hd__a21oi_2) + 18.63 13.41 14.82 ^ _077118_/Y (sky130_fd_sc_hd__a21oi_2) + 117 1.63 _029150_ (net) + 18.63 0.18 15.00 ^ _079640_/A1 (sky130_fd_sc_hd__o21a_1) + 305.83 220.53 235.53 ^ _079640_/X (sky130_fd_sc_hd__o21a_1) + 5371 35.58 _029825_ (net) + 306.11 7.98 243.51 ^ _079726_/B (sky130_fd_sc_hd__nor2_1) + 965.31 2851.46 3094.98 v _079726_/Y (sky130_fd_sc_hd__nor2_1) + 363 3.64 _029911_ (net) + 965.31 0.18 3095.15 v _108782_/A1 (sky130_fd_sc_hd__a32oi_1) + 121.72 289.01 3384.17 ^ _108782_/Y (sky130_fd_sc_hd__a32oi_1) + 1 0.01 _058946_ (net) + 121.72 0.00 3384.17 ^ _108786_/A3 (sky130_fd_sc_hd__a31oi_1) + 19.44 23.93 3408.09 v _108786_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.05 _058950_ (net) + 19.44 0.01 3408.10 v _108787_/A2 (sky130_fd_sc_hd__o21ai_0) + 3.23 5.20 3413.31 ^ _108787_/Y (sky130_fd_sc_hd__o21ai_0) + 1 0.00 _058951_ (net) + 3.23 0.00 3413.31 ^ _108788_/D (sky130_fd_sc_hd__nor4_1) + 1.88 1.85 3415.16 v _108788_/Y (sky130_fd_sc_hd__nor4_1) + 1 0.11 _058952_ (net) + 1.88 0.04 3415.19 v _108789_/B1 (sky130_fd_sc_hd__a211oi_1) + 1.50 1.86 3417.05 ^ _108789_/Y (sky130_fd_sc_hd__a211oi_1) + 1 0.05 _058953_ (net) + 1.50 0.01 3417.06 ^ _109710_/A2 (sky130_fd_sc_hd__a31o_1) + 0.07 0.26 3417.32 ^ _109710_/X (sky130_fd_sc_hd__a31o_1) + 1 0.00 _013496_ (net) + 0.07 0.00 3417.32 ^ _135902_/D (sky130_fd_sc_hd__dfxtp_1) + 3417.32 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _135902_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.07 49.93 library setup time + 49.93 data required time +----------------------------------------------------------------------------- + 49.93 data required time + -3417.32 data arrival time +----------------------------------------------------------------------------- + -3367.39 slack (VIOLATED) + + + +========================================================================== +global place report_tns +-------------------------------------------------------------------------- +tns -131239.14 + +========================================================================== +global place report_wns +-------------------------------------------------------------------------- +wns -3367.39 + +========================================================================== +global place report_worst_slack +-------------------------------------------------------------------------- +worst slack -3367.39 + +========================================================================== +global place report_clock_skew +-------------------------------------------------------------------------- +Clock clk +Latency CRPR Skew +_145458_/CLK ^ + 0.00 +_143514_/CLK ^ + 0.00 0.00 0.00 + + +========================================================================== +global place report_power +-------------------------------------------------------------------------- +Group Internal Switching Leakage Total + Power Power Power Power +---------------------------------------------------------------- +Sequential 2.01e-02 1.07e-03 2.04e-07 2.11e-02 39.0% +Combinational 2.97e-02 3.37e-03 1.65e-07 3.30e-02 61.0% +Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +---------------------------------------------------------------- +Total 4.97e-02 4.45e-03 3.69e-07 5.42e-02 100.0% + 91.8% 8.2% 0.0% + +========================================================================== +global place report_design_area +-------------------------------------------------------------------------- +Design area 1274500 u^2 6% utilization. + +Elapsed time: 3:24.16[h:]min:sec. CPU time: user 202.64 sys 1.40 (99%). Peak memory: 2499944KB. diff --git a/build/openroad/logs/3_2_place_iop.json b/build/openroad/logs/3_2_place_iop.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/3_2_place_iop.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/3_2_place_iop.log b/build/openroad/logs/3_2_place_iop.log new file mode 100644 index 0000000..51af8ff --- /dev/null +++ b/build/openroad/logs/3_2_place_iop.log @@ -0,0 +1,33 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/3_1_place_gp.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0094] Created 100000 Insts +[INFO ODB-0094] Created 200000 Insts +[INFO ODB-0094] Created 300000 Insts +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 344463 components and 975384 component-terminals. +[INFO ODB-0132] Created 2 special nets and 688926 connections. +[INFO ODB-0133] Created 77777 nets and 286452 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/3_1_place_gp.def +Found 0 macro blocks. +Using 1u default distance from corners. +Using 2 tracks default min distance between IO pins. +[INFO PPL-0010] Tentative 0 to set up sections. +[INFO PPL-0001] Number of slots 18082 +[INFO PPL-0002] Number of I/O 254 +[INFO PPL-0003] Number of I/O w/sink 254 +[INFO PPL-0004] Number of I/O w/o sink 72 +[INFO PPL-0005] Slots per section 200 +[INFO PPL-0006] Slots increase factor 0.01 +[INFO PPL-0008] Successfully assigned pins to sections. +[INFO PPL-0012] I/O nets HPWL: 231177.19 um. +Elapsed time: 0:05.74[h:]min:sec. CPU time: user 5.23 sys 0.30 (96%). Peak memory: 1191752KB. diff --git a/build/openroad/logs/3_3_resizer.json b/build/openroad/logs/3_3_resizer.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/3_3_resizer.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/3_3_resizer.log b/build/openroad/logs/3_3_resizer.log new file mode 100644 index 0000000..14d8793 --- /dev/null +++ b/build/openroad/logs/3_3_resizer.log @@ -0,0 +1,1796 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/3_2_place_iop.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0094] Created 100000 Insts +[INFO ODB-0094] Created 200000 Insts +[INFO ODB-0094] Created 300000 Insts +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 344463 components and 975384 component-terminals. +[INFO ODB-0132] Created 2 special nets and 688926 connections. +[INFO ODB-0133] Created 77777 nets and 286452 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/3_2_place_iop.def + +========================================================================== +resizer pre report_checks -path_delay min +-------------------------------------------------------------------------- +Startpoint: externalResetVector[4] (input port clocked by clk) +Endpoint: _145461_ (removal check against rising-edge clock clk) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 v input external delay + 0.00 0.00 1.00 v externalResetVector[4] (in) + 2 0.30 externalResetVector[4] (net) + 0.43 0.21 1.21 v _122268_/B (sky130_fd_sc_hd__nand2_1) + 0.12 0.19 1.41 ^ _122268_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 _000022_ (net) + 0.12 0.00 1.41 ^ _145461_/SET_B (sky130_fd_sc_hd__dfbbp_1) + 1.41 data arrival time + + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 clock reconvergence pessimism + 0.00 ^ _145461_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.10 0.10 library removal time + 0.10 data required time +----------------------------------------------------------------------------- + 0.10 data required time + -1.41 data arrival time +----------------------------------------------------------------------------- + 1.31 slack (MET) + + +Startpoint: _144888_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _122419_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _144888_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.06 0.30 0.30 ^ _144888_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 dataCache_1_.io_mem_cmd_payload_data[0] (net) + 0.06 0.00 0.30 ^ _122419_/D (sky130_fd_sc_hd__dfxtp_1) + 0.30 data arrival time + + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 clock reconvergence pessimism + 0.00 ^ _122419_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.04 -0.04 library hold time + -0.04 data required time +----------------------------------------------------------------------------- + -0.04 data required time + -0.30 data arrival time +----------------------------------------------------------------------------- + 0.34 slack (MET) + + + +========================================================================== +resizer pre report_checks -path_delay max +-------------------------------------------------------------------------- +Startpoint: externalResetVector[28] (input port clocked by clk) +Endpoint: _145485_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[28] (in) + 2 0.31 externalResetVector[28] (net) + 0.45 0.23 1.23 ^ _122259_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.22 0.16 1.39 ^ _122259_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000069_ (net) + 0.22 0.00 1.39 ^ _145485_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 1.39 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _145485_/CLK (sky130_fd_sc_hd__dfbbp_1) + -0.12 49.88 library recovery time + 49.88 data required time +----------------------------------------------------------------------------- + 49.88 data required time + -1.39 data arrival time +----------------------------------------------------------------------------- + 48.49 slack (MET) + + +Startpoint: _143572_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _135902_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _143572_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 0.42 0.42 ^ _143572_/Q (sky130_fd_sc_hd__dfxtp_1) + 5 0.02 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[28] (net) + 0.23 0.00 0.42 ^ _061278_/B (sky130_fd_sc_hd__xor2_1) + 0.44 0.41 0.83 ^ _061278_/X (sky130_fd_sc_hd__xor2_1) + 1 0.02 _025141_ (net) + 0.44 0.00 0.84 ^ _061280_/B (sky130_fd_sc_hd__nor3_1) + 0.22 0.28 1.12 v _061280_/Y (sky130_fd_sc_hd__nor3_1) + 4 0.04 _025143_ (net) + 0.22 0.00 1.12 v _061286_/A (sky130_fd_sc_hd__and2_0) + 0.14 0.29 1.41 v _061286_/X (sky130_fd_sc_hd__and2_0) + 4 0.02 _025149_ (net) + 0.14 0.00 1.41 v _077118_/A1 (sky130_fd_sc_hd__a21oi_2) + 18.63 13.41 14.82 ^ _077118_/Y (sky130_fd_sc_hd__a21oi_2) + 117 1.63 _029150_ (net) + 18.63 0.18 15.00 ^ _079640_/A1 (sky130_fd_sc_hd__o21a_1) + 305.83 220.53 235.53 ^ _079640_/X (sky130_fd_sc_hd__o21a_1) + 5371 35.58 _029825_ (net) + 306.11 7.98 243.51 ^ _079726_/B (sky130_fd_sc_hd__nor2_1) + 965.31 2851.46 3094.98 v _079726_/Y (sky130_fd_sc_hd__nor2_1) + 363 3.64 _029911_ (net) + 965.31 0.18 3095.15 v _108782_/A1 (sky130_fd_sc_hd__a32oi_1) + 121.72 289.01 3384.17 ^ _108782_/Y (sky130_fd_sc_hd__a32oi_1) + 1 0.01 _058946_ (net) + 121.72 0.00 3384.17 ^ _108786_/A3 (sky130_fd_sc_hd__a31oi_1) + 19.44 23.93 3408.09 v _108786_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.05 _058950_ (net) + 19.44 0.01 3408.10 v _108787_/A2 (sky130_fd_sc_hd__o21ai_0) + 3.23 5.20 3413.31 ^ _108787_/Y (sky130_fd_sc_hd__o21ai_0) + 1 0.00 _058951_ (net) + 3.23 0.00 3413.31 ^ _108788_/D (sky130_fd_sc_hd__nor4_1) + 1.88 1.85 3415.16 v _108788_/Y (sky130_fd_sc_hd__nor4_1) + 1 0.11 _058952_ (net) + 1.88 0.04 3415.19 v _108789_/B1 (sky130_fd_sc_hd__a211oi_1) + 1.50 1.86 3417.05 ^ _108789_/Y (sky130_fd_sc_hd__a211oi_1) + 1 0.05 _058953_ (net) + 1.50 0.01 3417.06 ^ _109710_/A2 (sky130_fd_sc_hd__a31o_1) + 0.07 0.26 3417.32 ^ _109710_/X (sky130_fd_sc_hd__a31o_1) + 1 0.00 _013496_ (net) + 0.07 0.00 3417.32 ^ _135902_/D (sky130_fd_sc_hd__dfxtp_1) + 3417.32 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _135902_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.07 49.93 library setup time + 49.93 data required time +----------------------------------------------------------------------------- + 49.93 data required time + -3417.32 data arrival time +----------------------------------------------------------------------------- + -3367.39 slack (VIOLATED) + + + +========================================================================== +resizer pre report_checks -unconstrained +-------------------------------------------------------------------------- +Startpoint: externalResetVector[28] (input port clocked by clk) +Endpoint: _145485_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[28] (in) + 2 0.31 externalResetVector[28] (net) + 0.45 0.23 1.23 ^ _122259_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.22 0.16 1.39 ^ _122259_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000069_ (net) + 0.22 0.00 1.39 ^ _145485_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 1.39 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _145485_/CLK (sky130_fd_sc_hd__dfbbp_1) + -0.12 49.88 library recovery time + 49.88 data required time +----------------------------------------------------------------------------- + 49.88 data required time + -1.39 data arrival time +----------------------------------------------------------------------------- + 48.49 slack (MET) + + +Startpoint: _143572_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _135902_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _143572_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.23 0.42 0.42 ^ _143572_/Q (sky130_fd_sc_hd__dfxtp_1) + 5 0.02 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[28] (net) + 0.23 0.00 0.42 ^ _061278_/B (sky130_fd_sc_hd__xor2_1) + 0.44 0.41 0.83 ^ _061278_/X (sky130_fd_sc_hd__xor2_1) + 1 0.02 _025141_ (net) + 0.44 0.00 0.84 ^ _061280_/B (sky130_fd_sc_hd__nor3_1) + 0.22 0.28 1.12 v _061280_/Y (sky130_fd_sc_hd__nor3_1) + 4 0.04 _025143_ (net) + 0.22 0.00 1.12 v _061286_/A (sky130_fd_sc_hd__and2_0) + 0.14 0.29 1.41 v _061286_/X (sky130_fd_sc_hd__and2_0) + 4 0.02 _025149_ (net) + 0.14 0.00 1.41 v _077118_/A1 (sky130_fd_sc_hd__a21oi_2) + 18.63 13.41 14.82 ^ _077118_/Y (sky130_fd_sc_hd__a21oi_2) + 117 1.63 _029150_ (net) + 18.63 0.18 15.00 ^ _079640_/A1 (sky130_fd_sc_hd__o21a_1) + 305.83 220.53 235.53 ^ _079640_/X (sky130_fd_sc_hd__o21a_1) + 5371 35.58 _029825_ (net) + 306.11 7.98 243.51 ^ _079726_/B (sky130_fd_sc_hd__nor2_1) + 965.31 2851.46 3094.98 v _079726_/Y (sky130_fd_sc_hd__nor2_1) + 363 3.64 _029911_ (net) + 965.31 0.18 3095.15 v _108782_/A1 (sky130_fd_sc_hd__a32oi_1) + 121.72 289.01 3384.17 ^ _108782_/Y (sky130_fd_sc_hd__a32oi_1) + 1 0.01 _058946_ (net) + 121.72 0.00 3384.17 ^ _108786_/A3 (sky130_fd_sc_hd__a31oi_1) + 19.44 23.93 3408.09 v _108786_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.05 _058950_ (net) + 19.44 0.01 3408.10 v _108787_/A2 (sky130_fd_sc_hd__o21ai_0) + 3.23 5.20 3413.31 ^ _108787_/Y (sky130_fd_sc_hd__o21ai_0) + 1 0.00 _058951_ (net) + 3.23 0.00 3413.31 ^ _108788_/D (sky130_fd_sc_hd__nor4_1) + 1.88 1.85 3415.16 v _108788_/Y (sky130_fd_sc_hd__nor4_1) + 1 0.11 _058952_ (net) + 1.88 0.04 3415.19 v _108789_/B1 (sky130_fd_sc_hd__a211oi_1) + 1.50 1.86 3417.05 ^ _108789_/Y (sky130_fd_sc_hd__a211oi_1) + 1 0.05 _058953_ (net) + 1.50 0.01 3417.06 ^ _109710_/A2 (sky130_fd_sc_hd__a31o_1) + 0.07 0.26 3417.32 ^ _109710_/X (sky130_fd_sc_hd__a31o_1) + 1 0.00 _013496_ (net) + 0.07 0.00 3417.32 ^ _135902_/D (sky130_fd_sc_hd__dfxtp_1) + 3417.32 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _135902_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.07 49.93 library setup time + 49.93 data required time +----------------------------------------------------------------------------- + 49.93 data required time + -3417.32 data arrival time +----------------------------------------------------------------------------- + -3367.39 slack (VIOLATED) + + + +========================================================================== +resizer pre report_tns +-------------------------------------------------------------------------- +tns -131239.14 + +========================================================================== +resizer pre report_wns +-------------------------------------------------------------------------- +wns -3367.39 + +========================================================================== +resizer pre report_worst_slack +-------------------------------------------------------------------------- +worst slack -3367.39 + +========================================================================== +resizer pre report_clock_skew +-------------------------------------------------------------------------- +Clock clk +Latency CRPR Skew +_145458_/CLK ^ + 0.00 +_143514_/CLK ^ + 0.00 0.00 0.00 + + +========================================================================== +resizer pre report_power +-------------------------------------------------------------------------- +Group Internal Switching Leakage Total + Power Power Power Power +---------------------------------------------------------------- +Sequential 2.01e-02 1.01e-03 2.04e-07 2.11e-02 39.0% +Combinational 2.97e-02 3.37e-03 1.65e-07 3.30e-02 61.1% +Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +---------------------------------------------------------------- +Total 4.97e-02 4.38e-03 3.69e-07 5.41e-02 100.0% + 91.9% 8.1% 0.0% + +========================================================================== +resizer pre report_design_area +-------------------------------------------------------------------------- +Design area 1274500 u^2 6% utilization. + + +========================================================================== +instance_count +-------------------------------------------------------------------------- +344463 + +========================================================================== +pin_count +-------------------------------------------------------------------------- +286458 + +Perform port buffering... +[INFO RSZ-0027] Inserted 33 input buffers. +[INFO RSZ-0028] Inserted 67 output buffers. +Perform buffer insertion... +[INFO RSZ-0058] Using max wire length 2431um. +[INFO RSZ-0034] Found 28740 slew violations. +[INFO RSZ-0036] Found 182 capacitance violations. +[INFO RSZ-0037] Found 24 long wires. +[INFO RSZ-0038] Inserted 2436 buffers in 28744 nets. +[INFO RSZ-0039] Resized 30817 instances. +Repair tie lo fanout... +[INFO RSZ-0042] Inserted 310 tie sky130_fd_sc_hd__conb_1 instances. +Repair tie hi fanout... +[INFO RSZ-0042] Inserted 18 tie sky130_fd_sc_hd__conb_1 instances. + +========================================================================== +report_floating_nets +-------------------------------------------------------------------------- + +========================================================================== +resizer report_checks -path_delay min +-------------------------------------------------------------------------- +Startpoint: externalResetVector[8] (input port clocked by clk) +Endpoint: _145465_ (removal check against rising-edge clock clk) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 v input external delay + 0.00 0.00 1.00 v externalResetVector[8] (in) + 1 0.04 externalResetVector[8] (net) + 0.01 0.00 1.00 v input30/A (sky130_fd_sc_hd__buf_16) + 0.11 0.17 1.18 v input30/X (sky130_fd_sc_hd__buf_16) + 2 0.28 net30 (net) + 0.40 0.20 1.37 v _122272_/B (sky130_fd_sc_hd__nand2_1) + 0.11 0.19 1.56 ^ _122272_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 _000030_ (net) + 0.11 0.00 1.56 ^ _145465_/SET_B (sky130_fd_sc_hd__dfbbp_1) + 1.56 data arrival time + + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 clock reconvergence pessimism + 0.00 ^ _145465_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.10 0.10 library removal time + 0.10 data required time +----------------------------------------------------------------------------- + 0.10 data required time + -1.56 data arrival time +----------------------------------------------------------------------------- + 1.46 slack (MET) + + +Startpoint: _144896_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _122427_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _144896_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.03 0.28 0.28 v _144896_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 dataCache_1_.io_mem_cmd_payload_data[8] (net) + 0.03 0.00 0.28 v _122427_/D (sky130_fd_sc_hd__dfxtp_4) + 0.28 data arrival time + + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 clock reconvergence pessimism + 0.00 ^ _122427_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.05 -0.05 library hold time + -0.05 data required time +----------------------------------------------------------------------------- + -0.05 data required time + -0.28 data arrival time +----------------------------------------------------------------------------- + 0.33 slack (MET) + + + +========================================================================== +resizer report_checks -path_delay max +-------------------------------------------------------------------------- +Startpoint: externalResetVector[28] (input port clocked by clk) +Endpoint: _145485_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[28] (in) + 1 0.04 externalResetVector[28] (net) + 0.01 0.00 1.00 ^ input20/A (sky130_fd_sc_hd__buf_16) + 0.23 0.21 1.21 ^ input20/X (sky130_fd_sc_hd__buf_16) + 2 0.28 net20 (net) + 0.51 0.23 1.44 ^ _122259_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.12 0.17 1.60 ^ _122259_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000069_ (net) + 0.12 0.00 1.60 ^ _145485_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 1.60 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _145485_/CLK (sky130_fd_sc_hd__dfbbp_1) + -0.09 49.91 library recovery time + 49.91 data required time +----------------------------------------------------------------------------- + 49.91 data required time + -1.60 data arrival time +----------------------------------------------------------------------------- + 48.30 slack (MET) + + +Startpoint: _143571_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _135885_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _143571_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.09 0.33 0.33 v _143571_/Q (sky130_fd_sc_hd__dfxtp_1) + 4 0.02 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[27] (net) + 0.09 0.00 0.33 v _061287_/B (sky130_fd_sc_hd__xnor2_1) + 0.29 0.28 0.61 ^ _061287_/Y (sky130_fd_sc_hd__xnor2_1) + 1 0.01 _025150_ (net) + 0.29 0.00 0.61 ^ _061291_/A (sky130_fd_sc_hd__nand4_4) + 0.20 0.22 0.83 v _061291_/Y (sky130_fd_sc_hd__nand4_4) + 2 0.04 _025154_ (net) + 0.20 0.00 0.83 v _077125_/A (sky130_fd_sc_hd__or4_4) + 0.18 0.72 1.55 v _077125_/X (sky130_fd_sc_hd__or4_4) + 6 0.07 _029157_ (net) + 0.18 0.01 1.56 v _079639_/A1 (sky130_fd_sc_hd__a211oi_4) + 1.04 0.92 2.48 ^ _079639_/Y (sky130_fd_sc_hd__a211oi_4) + 4 0.10 _029824_ (net) + 1.04 0.00 2.49 ^ repeater2044/A (sky130_fd_sc_hd__buf_8) + 0.16 0.27 2.76 ^ repeater2044/X (sky130_fd_sc_hd__buf_8) + 5 0.09 net2044 (net) + 0.17 0.02 2.78 ^ _079640_/B1 (sky130_fd_sc_hd__o21a_4) + 0.39 0.41 3.19 ^ _079640_/X (sky130_fd_sc_hd__o21a_4) + 15 0.13 _029825_ (net) + 0.39 0.00 3.19 ^ repeater1732/A (sky130_fd_sc_hd__buf_16) + 0.27 0.31 3.50 ^ repeater1732/X (sky130_fd_sc_hd__buf_16) + 36 0.25 net1732 (net) + 0.28 0.04 3.54 ^ repeater1724/A (sky130_fd_sc_hd__buf_16) + 0.31 0.33 3.86 ^ repeater1724/X (sky130_fd_sc_hd__buf_16) + 43 0.30 net1724 (net) + 0.31 0.01 3.88 ^ repeater1723/A (sky130_fd_sc_hd__buf_16) + 0.30 0.32 4.20 ^ repeater1723/X (sky130_fd_sc_hd__buf_16) + 42 0.29 net1723 (net) + 0.31 0.03 4.23 ^ repeater1721/A (sky130_fd_sc_hd__buf_16) + 0.27 0.30 4.53 ^ repeater1721/X (sky130_fd_sc_hd__buf_16) + 40 0.26 net1721 (net) + 0.28 0.03 4.57 ^ repeater1720/A (sky130_fd_sc_hd__buf_16) + 0.29 0.30 4.86 ^ repeater1720/X (sky130_fd_sc_hd__buf_16) + 28 0.27 net1720 (net) + 0.31 0.06 4.92 ^ repeater1717/A (sky130_fd_sc_hd__buf_16) + 0.30 0.34 5.26 ^ repeater1717/X (sky130_fd_sc_hd__buf_16) + 43 0.29 net1717 (net) + 0.30 0.01 5.27 ^ repeater1716/A (sky130_fd_sc_hd__buf_12) + 0.24 0.30 5.57 ^ repeater1716/X (sky130_fd_sc_hd__buf_12) + 35 0.21 net1716 (net) + 0.24 0.01 5.58 ^ repeater1715/A (sky130_fd_sc_hd__buf_16) + 0.29 0.30 5.88 ^ repeater1715/X (sky130_fd_sc_hd__buf_16) + 40 0.28 net1715 (net) + 0.30 0.04 5.92 ^ repeater1714/A (sky130_fd_sc_hd__buf_16) + 0.31 0.33 6.25 ^ repeater1714/X (sky130_fd_sc_hd__buf_16) + 42 0.29 net1714 (net) + 0.31 0.03 6.28 ^ _079708_/B (sky130_fd_sc_hd__nor2_8) + 0.12 0.14 6.42 v _079708_/Y (sky130_fd_sc_hd__nor2_8) + 11 0.10 _029893_ (net) + 0.12 0.01 6.43 v repeater643/A (sky130_fd_sc_hd__buf_12) + 0.11 0.21 6.64 v repeater643/X (sky130_fd_sc_hd__buf_12) + 26 0.21 net643 (net) + 0.12 0.02 6.66 v repeater642/A (sky130_fd_sc_hd__buf_12) + 0.11 0.19 6.85 v repeater642/X (sky130_fd_sc_hd__buf_12) + 20 0.20 net642 (net) + 0.12 0.03 6.88 v repeater641/A (sky130_fd_sc_hd__buf_12) + 0.11 0.21 7.09 v repeater641/X (sky130_fd_sc_hd__buf_12) + 25 0.23 net641 (net) + 0.17 0.06 7.15 v repeater640/A (sky130_fd_sc_hd__buf_12) + 0.10 0.23 7.38 v repeater640/X (sky130_fd_sc_hd__buf_12) + 19 0.17 net640 (net) + 0.10 0.02 7.40 v repeater639/A (sky130_fd_sc_hd__buf_12) + 0.12 0.19 7.58 v repeater639/X (sky130_fd_sc_hd__buf_12) + 18 0.23 net639 (net) + 0.20 0.08 7.67 v _088661_/A (sky130_fd_sc_hd__nand3_1) + 0.08 0.13 7.79 ^ _088661_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 _038842_ (net) + 0.08 0.00 7.79 ^ _088668_/A2 (sky130_fd_sc_hd__a31oi_1) + 0.09 0.10 7.90 v _088668_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.01 _038849_ (net) + 0.09 0.00 7.90 v _088696_/A2 (sky130_fd_sc_hd__o2111a_4) + 0.11 0.38 8.27 v _088696_/X (sky130_fd_sc_hd__o2111a_4) + 1 0.06 _038877_ (net) + 0.12 0.01 8.28 v _088697_/A2 (sky130_fd_sc_hd__o21ai_4) + 0.88 0.69 8.97 ^ _088697_/Y (sky130_fd_sc_hd__o21ai_4) + 1 0.13 _038878_ (net) + 0.88 0.06 9.03 ^ _088698_/C1 (sky130_fd_sc_hd__o311ai_4) + 0.52 0.58 9.61 v _088698_/Y (sky130_fd_sc_hd__o311ai_4) + 1 0.11 _038879_ (net) + 0.52 0.04 9.65 v _088776_/C1 (sky130_fd_sc_hd__a2111oi_4) + 0.71 0.80 10.45 ^ _088776_/Y (sky130_fd_sc_hd__a2111oi_4) + 1 0.04 _038957_ (net) + 0.71 0.01 10.45 ^ _089069_/B1 (sky130_fd_sc_hd__a311o_4) + 0.21 0.34 10.79 ^ _089069_/X (sky130_fd_sc_hd__a311o_4) + 1 0.06 _013479_ (net) + 0.21 0.01 10.80 ^ _135885_/D (sky130_fd_sc_hd__dfxtp_1) + 10.80 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _135885_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.10 49.90 library setup time + 49.90 data required time +----------------------------------------------------------------------------- + 49.90 data required time + -10.80 data arrival time +----------------------------------------------------------------------------- + 39.10 slack (MET) + + + +========================================================================== +resizer report_checks -unconstrained +-------------------------------------------------------------------------- +Startpoint: externalResetVector[28] (input port clocked by clk) +Endpoint: _145485_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[28] (in) + 1 0.04 externalResetVector[28] (net) + 0.01 0.00 1.00 ^ input20/A (sky130_fd_sc_hd__buf_16) + 0.23 0.21 1.21 ^ input20/X (sky130_fd_sc_hd__buf_16) + 2 0.28 net20 (net) + 0.51 0.23 1.44 ^ _122259_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.12 0.17 1.60 ^ _122259_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000069_ (net) + 0.12 0.00 1.60 ^ _145485_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 1.60 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _145485_/CLK (sky130_fd_sc_hd__dfbbp_1) + -0.09 49.91 library recovery time + 49.91 data required time +----------------------------------------------------------------------------- + 49.91 data required time + -1.60 data arrival time +----------------------------------------------------------------------------- + 48.30 slack (MET) + + +Startpoint: _143571_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _135885_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _143571_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.09 0.33 0.33 v _143571_/Q (sky130_fd_sc_hd__dfxtp_1) + 4 0.02 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[27] (net) + 0.09 0.00 0.33 v _061287_/B (sky130_fd_sc_hd__xnor2_1) + 0.29 0.28 0.61 ^ _061287_/Y (sky130_fd_sc_hd__xnor2_1) + 1 0.01 _025150_ (net) + 0.29 0.00 0.61 ^ _061291_/A (sky130_fd_sc_hd__nand4_4) + 0.20 0.22 0.83 v _061291_/Y (sky130_fd_sc_hd__nand4_4) + 2 0.04 _025154_ (net) + 0.20 0.00 0.83 v _077125_/A (sky130_fd_sc_hd__or4_4) + 0.18 0.72 1.55 v _077125_/X (sky130_fd_sc_hd__or4_4) + 6 0.07 _029157_ (net) + 0.18 0.01 1.56 v _079639_/A1 (sky130_fd_sc_hd__a211oi_4) + 1.04 0.92 2.48 ^ _079639_/Y (sky130_fd_sc_hd__a211oi_4) + 4 0.10 _029824_ (net) + 1.04 0.00 2.49 ^ repeater2044/A (sky130_fd_sc_hd__buf_8) + 0.16 0.27 2.76 ^ repeater2044/X (sky130_fd_sc_hd__buf_8) + 5 0.09 net2044 (net) + 0.17 0.02 2.78 ^ _079640_/B1 (sky130_fd_sc_hd__o21a_4) + 0.39 0.41 3.19 ^ _079640_/X (sky130_fd_sc_hd__o21a_4) + 15 0.13 _029825_ (net) + 0.39 0.00 3.19 ^ repeater1732/A (sky130_fd_sc_hd__buf_16) + 0.27 0.31 3.50 ^ repeater1732/X (sky130_fd_sc_hd__buf_16) + 36 0.25 net1732 (net) + 0.28 0.04 3.54 ^ repeater1724/A (sky130_fd_sc_hd__buf_16) + 0.31 0.33 3.86 ^ repeater1724/X (sky130_fd_sc_hd__buf_16) + 43 0.30 net1724 (net) + 0.31 0.01 3.88 ^ repeater1723/A (sky130_fd_sc_hd__buf_16) + 0.30 0.32 4.20 ^ repeater1723/X (sky130_fd_sc_hd__buf_16) + 42 0.29 net1723 (net) + 0.31 0.03 4.23 ^ repeater1721/A (sky130_fd_sc_hd__buf_16) + 0.27 0.30 4.53 ^ repeater1721/X (sky130_fd_sc_hd__buf_16) + 40 0.26 net1721 (net) + 0.28 0.03 4.57 ^ repeater1720/A (sky130_fd_sc_hd__buf_16) + 0.29 0.30 4.86 ^ repeater1720/X (sky130_fd_sc_hd__buf_16) + 28 0.27 net1720 (net) + 0.31 0.06 4.92 ^ repeater1717/A (sky130_fd_sc_hd__buf_16) + 0.30 0.34 5.26 ^ repeater1717/X (sky130_fd_sc_hd__buf_16) + 43 0.29 net1717 (net) + 0.30 0.01 5.27 ^ repeater1716/A (sky130_fd_sc_hd__buf_12) + 0.24 0.30 5.57 ^ repeater1716/X (sky130_fd_sc_hd__buf_12) + 35 0.21 net1716 (net) + 0.24 0.01 5.58 ^ repeater1715/A (sky130_fd_sc_hd__buf_16) + 0.29 0.30 5.88 ^ repeater1715/X (sky130_fd_sc_hd__buf_16) + 40 0.28 net1715 (net) + 0.30 0.04 5.92 ^ repeater1714/A (sky130_fd_sc_hd__buf_16) + 0.31 0.33 6.25 ^ repeater1714/X (sky130_fd_sc_hd__buf_16) + 42 0.29 net1714 (net) + 0.31 0.03 6.28 ^ _079708_/B (sky130_fd_sc_hd__nor2_8) + 0.12 0.14 6.42 v _079708_/Y (sky130_fd_sc_hd__nor2_8) + 11 0.10 _029893_ (net) + 0.12 0.01 6.43 v repeater643/A (sky130_fd_sc_hd__buf_12) + 0.11 0.21 6.64 v repeater643/X (sky130_fd_sc_hd__buf_12) + 26 0.21 net643 (net) + 0.12 0.02 6.66 v repeater642/A (sky130_fd_sc_hd__buf_12) + 0.11 0.19 6.85 v repeater642/X (sky130_fd_sc_hd__buf_12) + 20 0.20 net642 (net) + 0.12 0.03 6.88 v repeater641/A (sky130_fd_sc_hd__buf_12) + 0.11 0.21 7.09 v repeater641/X (sky130_fd_sc_hd__buf_12) + 25 0.23 net641 (net) + 0.17 0.06 7.15 v repeater640/A (sky130_fd_sc_hd__buf_12) + 0.10 0.23 7.38 v repeater640/X (sky130_fd_sc_hd__buf_12) + 19 0.17 net640 (net) + 0.10 0.02 7.40 v repeater639/A (sky130_fd_sc_hd__buf_12) + 0.12 0.19 7.58 v repeater639/X (sky130_fd_sc_hd__buf_12) + 18 0.23 net639 (net) + 0.20 0.08 7.67 v _088661_/A (sky130_fd_sc_hd__nand3_1) + 0.08 0.13 7.79 ^ _088661_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 _038842_ (net) + 0.08 0.00 7.79 ^ _088668_/A2 (sky130_fd_sc_hd__a31oi_1) + 0.09 0.10 7.90 v _088668_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.01 _038849_ (net) + 0.09 0.00 7.90 v _088696_/A2 (sky130_fd_sc_hd__o2111a_4) + 0.11 0.38 8.27 v _088696_/X (sky130_fd_sc_hd__o2111a_4) + 1 0.06 _038877_ (net) + 0.12 0.01 8.28 v _088697_/A2 (sky130_fd_sc_hd__o21ai_4) + 0.88 0.69 8.97 ^ _088697_/Y (sky130_fd_sc_hd__o21ai_4) + 1 0.13 _038878_ (net) + 0.88 0.06 9.03 ^ _088698_/C1 (sky130_fd_sc_hd__o311ai_4) + 0.52 0.58 9.61 v _088698_/Y (sky130_fd_sc_hd__o311ai_4) + 1 0.11 _038879_ (net) + 0.52 0.04 9.65 v _088776_/C1 (sky130_fd_sc_hd__a2111oi_4) + 0.71 0.80 10.45 ^ _088776_/Y (sky130_fd_sc_hd__a2111oi_4) + 1 0.04 _038957_ (net) + 0.71 0.01 10.45 ^ _089069_/B1 (sky130_fd_sc_hd__a311o_4) + 0.21 0.34 10.79 ^ _089069_/X (sky130_fd_sc_hd__a311o_4) + 1 0.06 _013479_ (net) + 0.21 0.01 10.80 ^ _135885_/D (sky130_fd_sc_hd__dfxtp_1) + 10.80 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _135885_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.10 49.90 library setup time + 49.90 data required time +----------------------------------------------------------------------------- + 49.90 data required time + -10.80 data arrival time +----------------------------------------------------------------------------- + 39.10 slack (MET) + + + +========================================================================== +resizer report_check_types -max_slew -max_cap -max_fanout -violators +-------------------------------------------------------------------------- +max capacitance + +Pin Limit Cap Slack +------------------------------------------------------------ +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) + + +========================================================================== +resizer max_slew_violation_count +-------------------------------------------------------------------------- +max slew violation count 0 + +========================================================================== +resizer max_fanout_violation_count +-------------------------------------------------------------------------- +max fanout violation count 0 + +========================================================================== +resizer max_cap_violation_count +-------------------------------------------------------------------------- +max cap violation count 992 + +========================================================================== +resizer report_tns +-------------------------------------------------------------------------- +tns -0.07 + +========================================================================== +resizer report_wns +-------------------------------------------------------------------------- +wns 0.00 + +========================================================================== +resizer report_worst_slack +-------------------------------------------------------------------------- +worst slack 39.10 + +========================================================================== +resizer report_clock_skew +-------------------------------------------------------------------------- +Clock clk +Latency CRPR Skew +_145458_/CLK ^ + 0.00 +_143514_/CLK ^ + 0.00 0.00 0.00 + + +========================================================================== +resizer report_power +-------------------------------------------------------------------------- +Group Internal Switching Leakage Total + Power Power Power Power +---------------------------------------------------------------- +Sequential 2.00e-02 5.62e-04 2.04e-07 2.06e-02 76.4% +Combinational 2.65e-03 3.69e-03 2.24e-07 6.34e-03 23.6% +Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +---------------------------------------------------------------- +Total 2.27e-02 4.25e-03 4.28e-07 2.69e-02 100.0% + 84.2% 15.8% 0.0% + +========================================================================== +resizer report_design_area +-------------------------------------------------------------------------- +Design area 1420843 u^2 7% utilization. + + +========================================================================== +instance_count +-------------------------------------------------------------------------- +347321 + +========================================================================== +pin_count +-------------------------------------------------------------------------- +292174 + +Elapsed time: 1:09.56[h:]min:sec. CPU time: user 68.76 sys 0.71 (99%). Peak memory: 1294924KB. diff --git a/build/openroad/logs/3_4_opendp.json b/build/openroad/logs/3_4_opendp.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/3_4_opendp.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/3_4_opendp.log b/build/openroad/logs/3_4_opendp.log new file mode 100644 index 0000000..3394c23 --- /dev/null +++ b/build/openroad/logs/3_4_opendp.log @@ -0,0 +1,1463 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/3_3_place_resized.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0094] Created 100000 Insts +[INFO ODB-0094] Created 200000 Insts +[INFO ODB-0094] Created 300000 Insts +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 347321 components and 986816 component-terminals. +[INFO ODB-0132] Created 2 special nets and 694642 connections. +[INFO ODB-0133] Created 80635 nets and 291846 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/3_3_place_resized.def +Placement Analysis +--------------------------------- +total displacement 312976.9 u +average displacement 0.9 u +max displacement 47.5 u +original HPWL 3021371.7 u +legalized HPWL 3362458.5 u +delta HPWL 11 % + +[INFO DPL-0020] Mirrored 23646 instances +[INFO DPL-0021] HPWL before 3362458.5 u +[INFO DPL-0022] HPWL after 3310363.9 u +[INFO DPL-0023] HPWL delta -1.5 % +[INFO FLW-0012] Placement violations . + +========================================================================== +detailed place report_checks -path_delay min +-------------------------------------------------------------------------- +Startpoint: externalResetVector[1] (input port clocked by clk) +Endpoint: _145458_ (removal check against rising-edge clock clk) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 v input external delay + 0.00 0.00 1.00 v externalResetVector[1] (in) + 1 0.04 externalResetVector[1] (net) + 0.01 0.00 1.00 v input11/A (sky130_fd_sc_hd__buf_16) + 0.10 0.17 1.18 v input11/X (sky130_fd_sc_hd__buf_16) + 2 0.27 net11 (net) + 0.40 0.20 1.37 v _122265_/B (sky130_fd_sc_hd__nand2_1) + 0.12 0.19 1.56 ^ _122265_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 _000016_ (net) + 0.12 0.00 1.56 ^ _145458_/SET_B (sky130_fd_sc_hd__dfbbp_1) + 1.56 data arrival time + + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 clock reconvergence pessimism + 0.00 ^ _145458_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.10 0.10 library removal time + 0.10 data required time +----------------------------------------------------------------------------- + 0.10 data required time + -1.56 data arrival time +----------------------------------------------------------------------------- + 1.47 slack (MET) + + +Startpoint: _144902_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _122433_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _144902_/CLK (sky130_fd_sc_hd__dfxtp_1) + 0.04 0.28 0.28 v _144902_/Q (sky130_fd_sc_hd__dfxtp_1) + 2 0.00 dataCache_1_.io_mem_cmd_payload_data[14] (net) + 0.04 0.00 0.28 v _122433_/D (sky130_fd_sc_hd__dfxtp_4) + 0.28 data arrival time + + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 clock reconvergence pessimism + 0.00 ^ _122433_/CLK (sky130_fd_sc_hd__dfxtp_4) + -0.05 -0.05 library hold time + -0.05 data required time +----------------------------------------------------------------------------- + -0.05 data required time + -0.28 data arrival time +----------------------------------------------------------------------------- + 0.33 slack (MET) + + + +========================================================================== +detailed place report_checks -path_delay max +-------------------------------------------------------------------------- +Startpoint: externalResetVector[28] (input port clocked by clk) +Endpoint: _145485_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[28] (in) + 1 0.05 externalResetVector[28] (net) + 0.01 0.00 1.00 ^ input20/A (sky130_fd_sc_hd__buf_16) + 0.23 0.21 1.21 ^ input20/X (sky130_fd_sc_hd__buf_16) + 2 0.28 net20 (net) + 0.51 0.23 1.44 ^ _122259_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.12 0.17 1.61 ^ _122259_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000069_ (net) + 0.12 0.00 1.61 ^ _145485_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 1.61 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _145485_/CLK (sky130_fd_sc_hd__dfbbp_1) + -0.10 49.90 library recovery time + 49.90 data required time +----------------------------------------------------------------------------- + 49.90 data required time + -1.61 data arrival time +----------------------------------------------------------------------------- + 48.30 slack (MET) + + +Startpoint: _143566_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _135885_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _143566_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.09 0.35 0.35 v _143566_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.03 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[22] (net) + 0.09 0.00 0.35 v _061290_/B (sky130_fd_sc_hd__xnor2_1) + 0.27 0.26 0.61 ^ _061290_/Y (sky130_fd_sc_hd__xnor2_1) + 1 0.01 _025153_ (net) + 0.27 0.00 0.61 ^ _061291_/D (sky130_fd_sc_hd__nand4_4) + 0.20 0.22 0.83 v _061291_/Y (sky130_fd_sc_hd__nand4_4) + 2 0.04 _025154_ (net) + 0.20 0.00 0.84 v _077125_/A (sky130_fd_sc_hd__or4_4) + 0.18 0.72 1.56 v _077125_/X (sky130_fd_sc_hd__or4_4) + 6 0.07 _029157_ (net) + 0.18 0.01 1.57 v _079639_/A1 (sky130_fd_sc_hd__a211oi_4) + 1.01 0.91 2.47 ^ _079639_/Y (sky130_fd_sc_hd__a211oi_4) + 4 0.10 _029824_ (net) + 1.01 0.00 2.47 ^ repeater2044/A (sky130_fd_sc_hd__buf_8) + 0.16 0.27 2.74 ^ repeater2044/X (sky130_fd_sc_hd__buf_8) + 5 0.09 net2044 (net) + 0.16 0.02 2.76 ^ _079640_/B1 (sky130_fd_sc_hd__o21a_4) + 0.42 0.42 3.19 ^ _079640_/X (sky130_fd_sc_hd__o21a_4) + 15 0.14 _029825_ (net) + 0.42 0.00 3.19 ^ repeater1732/A (sky130_fd_sc_hd__buf_16) + 0.27 0.31 3.50 ^ repeater1732/X (sky130_fd_sc_hd__buf_16) + 36 0.25 net1732 (net) + 0.28 0.04 3.54 ^ repeater1724/A (sky130_fd_sc_hd__buf_16) + 0.31 0.33 3.87 ^ repeater1724/X (sky130_fd_sc_hd__buf_16) + 43 0.30 net1724 (net) + 0.31 0.01 3.88 ^ repeater1723/A (sky130_fd_sc_hd__buf_16) + 0.31 0.33 4.21 ^ repeater1723/X (sky130_fd_sc_hd__buf_16) + 42 0.30 net1723 (net) + 0.32 0.03 4.24 ^ repeater1721/A (sky130_fd_sc_hd__buf_16) + 0.27 0.30 4.54 ^ repeater1721/X (sky130_fd_sc_hd__buf_16) + 40 0.25 net1721 (net) + 0.27 0.03 4.57 ^ repeater1720/A (sky130_fd_sc_hd__buf_16) + 0.29 0.30 4.87 ^ repeater1720/X (sky130_fd_sc_hd__buf_16) + 28 0.28 net1720 (net) + 0.31 0.07 4.93 ^ repeater1717/A (sky130_fd_sc_hd__buf_16) + 0.31 0.34 5.27 ^ repeater1717/X (sky130_fd_sc_hd__buf_16) + 43 0.29 net1717 (net) + 0.31 0.01 5.28 ^ repeater1716/A (sky130_fd_sc_hd__buf_12) + 0.24 0.30 5.57 ^ repeater1716/X (sky130_fd_sc_hd__buf_12) + 35 0.21 net1716 (net) + 0.24 0.01 5.58 ^ repeater1715/A (sky130_fd_sc_hd__buf_16) + 0.28 0.30 5.88 ^ repeater1715/X (sky130_fd_sc_hd__buf_16) + 40 0.27 net1715 (net) + 0.29 0.04 5.92 ^ repeater1714/A (sky130_fd_sc_hd__buf_16) + 0.30 0.33 6.24 ^ repeater1714/X (sky130_fd_sc_hd__buf_16) + 42 0.29 net1714 (net) + 0.31 0.03 6.28 ^ _079708_/B (sky130_fd_sc_hd__nor2_8) + 0.13 0.14 6.41 v _079708_/Y (sky130_fd_sc_hd__nor2_8) + 11 0.10 _029893_ (net) + 0.13 0.01 6.43 v repeater643/A (sky130_fd_sc_hd__buf_12) + 0.12 0.22 6.65 v repeater643/X (sky130_fd_sc_hd__buf_12) + 26 0.21 net643 (net) + 0.12 0.02 6.66 v repeater642/A (sky130_fd_sc_hd__buf_12) + 0.11 0.20 6.86 v repeater642/X (sky130_fd_sc_hd__buf_12) + 20 0.21 net642 (net) + 0.12 0.03 6.89 v repeater641/A (sky130_fd_sc_hd__buf_12) + 0.11 0.21 7.10 v repeater641/X (sky130_fd_sc_hd__buf_12) + 25 0.23 net641 (net) + 0.17 0.06 7.16 v repeater640/A (sky130_fd_sc_hd__buf_12) + 0.10 0.23 7.39 v repeater640/X (sky130_fd_sc_hd__buf_12) + 19 0.17 net640 (net) + 0.10 0.02 7.42 v repeater639/A (sky130_fd_sc_hd__buf_12) + 0.11 0.19 7.60 v repeater639/X (sky130_fd_sc_hd__buf_12) + 18 0.22 net639 (net) + 0.20 0.08 7.69 v _088661_/A (sky130_fd_sc_hd__nand3_1) + 0.08 0.13 7.82 ^ _088661_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 _038842_ (net) + 0.08 0.00 7.82 ^ _088668_/A2 (sky130_fd_sc_hd__a31oi_1) + 0.09 0.11 7.93 v _088668_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.01 _038849_ (net) + 0.09 0.00 7.93 v _088696_/A2 (sky130_fd_sc_hd__o2111a_4) + 0.11 0.38 8.30 v _088696_/X (sky130_fd_sc_hd__o2111a_4) + 1 0.06 _038877_ (net) + 0.12 0.01 8.31 v _088697_/A2 (sky130_fd_sc_hd__o21ai_4) + 0.88 0.69 9.00 ^ _088697_/Y (sky130_fd_sc_hd__o21ai_4) + 1 0.13 _038878_ (net) + 0.88 0.06 9.06 ^ _088698_/C1 (sky130_fd_sc_hd__o311ai_4) + 0.52 0.58 9.63 v _088698_/Y (sky130_fd_sc_hd__o311ai_4) + 1 0.11 _038879_ (net) + 0.53 0.04 9.68 v _088776_/C1 (sky130_fd_sc_hd__a2111oi_4) + 0.70 0.79 10.47 ^ _088776_/Y (sky130_fd_sc_hd__a2111oi_4) + 1 0.04 _038957_ (net) + 0.70 0.01 10.47 ^ _089069_/B1 (sky130_fd_sc_hd__a311o_4) + 0.21 0.34 10.81 ^ _089069_/X (sky130_fd_sc_hd__a311o_4) + 1 0.06 _013479_ (net) + 0.21 0.01 10.82 ^ _135885_/D (sky130_fd_sc_hd__dfxtp_1) + 10.82 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _135885_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.10 49.90 library setup time + 49.90 data required time +----------------------------------------------------------------------------- + 49.90 data required time + -10.82 data arrival time +----------------------------------------------------------------------------- + 39.08 slack (MET) + + + +========================================================================== +detailed place report_checks -unconstrained +-------------------------------------------------------------------------- +Startpoint: externalResetVector[28] (input port clocked by clk) +Endpoint: _145485_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[28] (in) + 1 0.05 externalResetVector[28] (net) + 0.01 0.00 1.00 ^ input20/A (sky130_fd_sc_hd__buf_16) + 0.23 0.21 1.21 ^ input20/X (sky130_fd_sc_hd__buf_16) + 2 0.28 net20 (net) + 0.51 0.23 1.44 ^ _122259_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.12 0.17 1.61 ^ _122259_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000069_ (net) + 0.12 0.00 1.61 ^ _145485_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 1.61 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _145485_/CLK (sky130_fd_sc_hd__dfbbp_1) + -0.10 49.90 library recovery time + 49.90 data required time +----------------------------------------------------------------------------- + 49.90 data required time + -1.61 data arrival time +----------------------------------------------------------------------------- + 48.30 slack (MET) + + +Startpoint: _143566_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _135885_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 0.00 ^ _143566_/CLK (sky130_fd_sc_hd__dfxtp_2) + 0.09 0.35 0.35 v _143566_/Q (sky130_fd_sc_hd__dfxtp_2) + 5 0.03 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[22] (net) + 0.09 0.00 0.35 v _061290_/B (sky130_fd_sc_hd__xnor2_1) + 0.27 0.26 0.61 ^ _061290_/Y (sky130_fd_sc_hd__xnor2_1) + 1 0.01 _025153_ (net) + 0.27 0.00 0.61 ^ _061291_/D (sky130_fd_sc_hd__nand4_4) + 0.20 0.22 0.83 v _061291_/Y (sky130_fd_sc_hd__nand4_4) + 2 0.04 _025154_ (net) + 0.20 0.00 0.84 v _077125_/A (sky130_fd_sc_hd__or4_4) + 0.18 0.72 1.56 v _077125_/X (sky130_fd_sc_hd__or4_4) + 6 0.07 _029157_ (net) + 0.18 0.01 1.57 v _079639_/A1 (sky130_fd_sc_hd__a211oi_4) + 1.01 0.91 2.47 ^ _079639_/Y (sky130_fd_sc_hd__a211oi_4) + 4 0.10 _029824_ (net) + 1.01 0.00 2.47 ^ repeater2044/A (sky130_fd_sc_hd__buf_8) + 0.16 0.27 2.74 ^ repeater2044/X (sky130_fd_sc_hd__buf_8) + 5 0.09 net2044 (net) + 0.16 0.02 2.76 ^ _079640_/B1 (sky130_fd_sc_hd__o21a_4) + 0.42 0.42 3.19 ^ _079640_/X (sky130_fd_sc_hd__o21a_4) + 15 0.14 _029825_ (net) + 0.42 0.00 3.19 ^ repeater1732/A (sky130_fd_sc_hd__buf_16) + 0.27 0.31 3.50 ^ repeater1732/X (sky130_fd_sc_hd__buf_16) + 36 0.25 net1732 (net) + 0.28 0.04 3.54 ^ repeater1724/A (sky130_fd_sc_hd__buf_16) + 0.31 0.33 3.87 ^ repeater1724/X (sky130_fd_sc_hd__buf_16) + 43 0.30 net1724 (net) + 0.31 0.01 3.88 ^ repeater1723/A (sky130_fd_sc_hd__buf_16) + 0.31 0.33 4.21 ^ repeater1723/X (sky130_fd_sc_hd__buf_16) + 42 0.30 net1723 (net) + 0.32 0.03 4.24 ^ repeater1721/A (sky130_fd_sc_hd__buf_16) + 0.27 0.30 4.54 ^ repeater1721/X (sky130_fd_sc_hd__buf_16) + 40 0.25 net1721 (net) + 0.27 0.03 4.57 ^ repeater1720/A (sky130_fd_sc_hd__buf_16) + 0.29 0.30 4.87 ^ repeater1720/X (sky130_fd_sc_hd__buf_16) + 28 0.28 net1720 (net) + 0.31 0.07 4.93 ^ repeater1717/A (sky130_fd_sc_hd__buf_16) + 0.31 0.34 5.27 ^ repeater1717/X (sky130_fd_sc_hd__buf_16) + 43 0.29 net1717 (net) + 0.31 0.01 5.28 ^ repeater1716/A (sky130_fd_sc_hd__buf_12) + 0.24 0.30 5.57 ^ repeater1716/X (sky130_fd_sc_hd__buf_12) + 35 0.21 net1716 (net) + 0.24 0.01 5.58 ^ repeater1715/A (sky130_fd_sc_hd__buf_16) + 0.28 0.30 5.88 ^ repeater1715/X (sky130_fd_sc_hd__buf_16) + 40 0.27 net1715 (net) + 0.29 0.04 5.92 ^ repeater1714/A (sky130_fd_sc_hd__buf_16) + 0.30 0.33 6.24 ^ repeater1714/X (sky130_fd_sc_hd__buf_16) + 42 0.29 net1714 (net) + 0.31 0.03 6.28 ^ _079708_/B (sky130_fd_sc_hd__nor2_8) + 0.13 0.14 6.41 v _079708_/Y (sky130_fd_sc_hd__nor2_8) + 11 0.10 _029893_ (net) + 0.13 0.01 6.43 v repeater643/A (sky130_fd_sc_hd__buf_12) + 0.12 0.22 6.65 v repeater643/X (sky130_fd_sc_hd__buf_12) + 26 0.21 net643 (net) + 0.12 0.02 6.66 v repeater642/A (sky130_fd_sc_hd__buf_12) + 0.11 0.20 6.86 v repeater642/X (sky130_fd_sc_hd__buf_12) + 20 0.21 net642 (net) + 0.12 0.03 6.89 v repeater641/A (sky130_fd_sc_hd__buf_12) + 0.11 0.21 7.10 v repeater641/X (sky130_fd_sc_hd__buf_12) + 25 0.23 net641 (net) + 0.17 0.06 7.16 v repeater640/A (sky130_fd_sc_hd__buf_12) + 0.10 0.23 7.39 v repeater640/X (sky130_fd_sc_hd__buf_12) + 19 0.17 net640 (net) + 0.10 0.02 7.42 v repeater639/A (sky130_fd_sc_hd__buf_12) + 0.11 0.19 7.60 v repeater639/X (sky130_fd_sc_hd__buf_12) + 18 0.22 net639 (net) + 0.20 0.08 7.69 v _088661_/A (sky130_fd_sc_hd__nand3_1) + 0.08 0.13 7.82 ^ _088661_/Y (sky130_fd_sc_hd__nand3_1) + 1 0.00 _038842_ (net) + 0.08 0.00 7.82 ^ _088668_/A2 (sky130_fd_sc_hd__a31oi_1) + 0.09 0.11 7.93 v _088668_/Y (sky130_fd_sc_hd__a31oi_1) + 1 0.01 _038849_ (net) + 0.09 0.00 7.93 v _088696_/A2 (sky130_fd_sc_hd__o2111a_4) + 0.11 0.38 8.30 v _088696_/X (sky130_fd_sc_hd__o2111a_4) + 1 0.06 _038877_ (net) + 0.12 0.01 8.31 v _088697_/A2 (sky130_fd_sc_hd__o21ai_4) + 0.88 0.69 9.00 ^ _088697_/Y (sky130_fd_sc_hd__o21ai_4) + 1 0.13 _038878_ (net) + 0.88 0.06 9.06 ^ _088698_/C1 (sky130_fd_sc_hd__o311ai_4) + 0.52 0.58 9.63 v _088698_/Y (sky130_fd_sc_hd__o311ai_4) + 1 0.11 _038879_ (net) + 0.53 0.04 9.68 v _088776_/C1 (sky130_fd_sc_hd__a2111oi_4) + 0.70 0.79 10.47 ^ _088776_/Y (sky130_fd_sc_hd__a2111oi_4) + 1 0.04 _038957_ (net) + 0.70 0.01 10.47 ^ _089069_/B1 (sky130_fd_sc_hd__a311o_4) + 0.21 0.34 10.81 ^ _089069_/X (sky130_fd_sc_hd__a311o_4) + 1 0.06 _013479_ (net) + 0.21 0.01 10.82 ^ _135885_/D (sky130_fd_sc_hd__dfxtp_1) + 10.82 data arrival time + + 0.00 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (ideal) + 0.00 50.00 clock reconvergence pessimism + 50.00 ^ _135885_/CLK (sky130_fd_sc_hd__dfxtp_1) + -0.10 49.90 library setup time + 49.90 data required time +----------------------------------------------------------------------------- + 49.90 data required time + -10.82 data arrival time +----------------------------------------------------------------------------- + 39.08 slack (MET) + + + +========================================================================== +detailed place report_check_types -max_slew -max_cap -max_fanout -violators +-------------------------------------------------------------------------- +max capacitance + +Pin Limit Cap Slack +------------------------------------------------------------ +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) + + +========================================================================== +detailed place max_slew_violation_count +-------------------------------------------------------------------------- +max slew violation count 0 + +========================================================================== +detailed place max_fanout_violation_count +-------------------------------------------------------------------------- +max fanout violation count 0 + +========================================================================== +detailed place max_cap_violation_count +-------------------------------------------------------------------------- +max cap violation count 992 + +========================================================================== +detailed place report_tns +-------------------------------------------------------------------------- +tns 0.00 + +========================================================================== +detailed place report_wns +-------------------------------------------------------------------------- +wns 0.00 + +========================================================================== +detailed place report_worst_slack +-------------------------------------------------------------------------- +worst slack 39.08 + +========================================================================== +detailed place report_clock_skew +-------------------------------------------------------------------------- +Clock clk +Latency CRPR Skew +_145459_/CLK ^ + 0.00 +_135881_/CLK ^ + 0.00 0.00 0.00 + + +========================================================================== +detailed place report_power +-------------------------------------------------------------------------- +Group Internal Switching Leakage Total + Power Power Power Power +---------------------------------------------------------------- +Sequential 2.00e-02 6.22e-04 2.04e-07 2.06e-02 72.6% +Combinational 3.00e-03 4.81e-03 2.24e-07 7.81e-03 27.4% +Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +---------------------------------------------------------------- +Total 2.30e-02 5.43e-03 4.28e-07 2.85e-02 100.0% + 80.9% 19.1% 0.0% + +========================================================================== +detailed place report_design_area +-------------------------------------------------------------------------- +Design area 1420843 u^2 7% utilization. + +Elapsed time: 1:53.89[h:]min:sec. CPU time: user 113.01 sys 0.66 (99%). Peak memory: 1599136KB. diff --git a/build/openroad/logs/4_1_cts.json b/build/openroad/logs/4_1_cts.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/4_1_cts.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/4_1_cts.log b/build/openroad/logs/4_1_cts.log new file mode 100644 index 0000000..e4e7d87 --- /dev/null +++ b/build/openroad/logs/4_1_cts.log @@ -0,0 +1,10217 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/3_place.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0094] Created 100000 Insts +[INFO ODB-0094] Created 200000 Insts +[INFO ODB-0094] Created 300000 Insts +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 347321 components and 986816 component-terminals. +[INFO ODB-0132] Created 2 special nets and 694642 connections. +[INFO ODB-0133] Created 80635 nets and 291846 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/3_place.def +[INFO CTS-0039] Number of created patterns = 9360. +[INFO CTS-0084] Compiling LUT. +Min. len Max. len Min. cap Max. cap Min. slew Max. slew +2 8 1 29 1 244 +[WARNING CTS-0043] 1248 wires are pure wire and no slew degradation. +TritonCTS forced slew degradation on these wires. +[INFO CTS-0046] Number of wire segments: 9360. +[INFO CTS-0047] Number of keys in characterization LUT: 1596. +[INFO CTS-0048] Actual min input cap: 1. +[INFO CTS-0007] Net "clk" found for clock "clk". +[INFO CTS-0010] Clock net "clk" has 23266 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[3\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[2\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[1\]" has 8 sinks. +[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[0\]" has 8 sinks. +[INFO CTS-0008] TritonCTS found 125 clock nets. +[INFO CTS-0097] Characterization used 1 buffer(s) types. +[INFO CTS-0027] Generating H-Tree topology for net clk. +[INFO CTS-0028] Total number of sinks: 23266. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0019] Total number of sinks after clustering: 957. +[INFO CTS-0024] Normalized sink region: [(112.569, 85.2754), (346.577, 290.094)]. +[INFO CTS-0025] Width: 234.0084. +[INFO CTS-0026] Height: 204.8185. + Level 1 + Direction: Horizontal + Sinks per sub-region: 479 + Sub-region size: 117.0042 X 204.8185 +[INFO CTS-0034] Segment length (rounded): 58. + Key: 336 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 21 outSlew: 11 load: 1 length: 2 isBuffered: true + Level 2 + Direction: Vertical + Sinks per sub-region: 240 + Sub-region size: 117.0042 X 102.4092 +[INFO CTS-0034] Segment length (rounded): 52. + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true + Key: 55 outSlew: 11 load: 1 length: 4 isBuffered: true + Level 3 + Direction: Horizontal + Sinks per sub-region: 120 + Sub-region size: 58.5021 X 102.4092 +[INFO CTS-0034] Segment length (rounded): 30. + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 130 outSlew: 11 load: 1 length: 6 isBuffered: true + Level 4 + Direction: Vertical + Sinks per sub-region: 60 + Sub-region size: 58.5021 X 51.2046 +[INFO CTS-0034] Segment length (rounded): 26. + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 21 outSlew: 11 load: 1 length: 2 isBuffered: true + Level 5 + Direction: Horizontal + Sinks per sub-region: 30 + Sub-region size: 29.2510 X 51.2046 +[INFO CTS-0034] Segment length (rounded): 14. + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 130 outSlew: 11 load: 1 length: 6 isBuffered: true + Level 6 + Direction: Vertical + Sinks per sub-region: 15 + Sub-region size: 29.2510 X 25.6023 +[INFO CTS-0034] Segment length (rounded): 12. + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Key: 57 outSlew: 11 load: 1 length: 4 isBuffered: true + Out of 37 sinks, 1 sinks closer to other cluster. + Out of 25 sinks, 1 sinks closer to other cluster. + Out of 22 sinks, 2 sinks closer to other cluster. + Level 7 + Direction: Horizontal + Sinks per sub-region: 8 + Sub-region size: 14.6255 X 25.6023 +[INFO CTS-0034] Segment length (rounded): 8. + Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true + Out of 19 sinks, 1 sinks closer to other cluster. + Out of 13 sinks, 1 sinks closer to other cluster. + Out of 8 sinks, 1 sinks closer to other cluster. + Out of 13 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 957. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2780505, 1119340), (2785105, 1146540)]. +[INFO CTS-0024] Normalized sink region: [(213.885, 86.1031), (214.239, 88.1954)]. +[INFO CTS-0025] Width: 0.3538. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.3538 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2933685, 1255340), (2952545, 1274260)]. +[INFO CTS-0024] Normalized sink region: [(225.668, 96.5646), (227.119, 98.02)]. +[INFO CTS-0025] Width: 1.4508. +[INFO CTS-0026] Height: 1.4554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4508 X 0.7277 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2933225, 1116500), (2950245, 1138260)]. +[INFO CTS-0024] Normalized sink region: [(225.633, 85.8846), (226.942, 87.5585)]. +[INFO CTS-0025] Width: 1.3092. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.3092 X 0.8369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2765785, 1249900), (2786415, 1279700)]. +[INFO CTS-0024] Normalized sink region: [(212.753, 96.1462), (214.34, 98.4385)]. +[INFO CTS-0025] Width: 1.5869. +[INFO CTS-0026] Height: 2.2923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.5869 X 1.1462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2839385, 1135660), (2862385, 1157420)]. +[INFO CTS-0024] Normalized sink region: [(218.414, 87.3585), (220.183, 89.0323)]. +[INFO CTS-0025] Width: 1.7692. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.8846 X 1.6738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3016485, 1285140), (3034815, 1315180)]. +[INFO CTS-0024] Normalized sink region: [(232.037, 98.8569), (233.447, 101.168)]. +[INFO CTS-0025] Width: 1.4100. +[INFO CTS-0026] Height: 2.3108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4100 X 1.1554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3016485, 1143700), (3048615, 1162860)]. +[INFO CTS-0024] Normalized sink region: [(232.037, 87.9769), (234.509, 89.4508)]. +[INFO CTS-0025] Width: 2.4715. +[INFO CTS-0026] Height: 1.4738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.2358 X 1.4738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2823285, 1285140), (2854105, 1296020)]. +[INFO CTS-0024] Normalized sink region: [(217.176, 98.8569), (219.547, 99.6938)]. +[INFO CTS-0025] Width: 2.3708. +[INFO CTS-0026] Height: 0.8369. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.1854 X 0.8369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2807645, 1138260), (2841615, 1157420)]. +[INFO CTS-0024] Normalized sink region: [(215.973, 87.5585), (218.586, 89.0323)]. +[INFO CTS-0025] Width: 2.6131. +[INFO CTS-0026] Height: 1.4738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.3065 X 1.4738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2988885, 1247060), (3009055, 1279700)]. +[INFO CTS-0024] Normalized sink region: [(229.914, 95.9277), (231.466, 98.4385)]. +[INFO CTS-0025] Width: 1.5515. +[INFO CTS-0026] Height: 2.5108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.5515 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2973245, 1143700), (2999465, 1157420)]. +[INFO CTS-0024] Normalized sink region: [(228.711, 87.9769), (230.728, 89.0323)]. +[INFO CTS-0025] Width: 2.0169. +[INFO CTS-0026] Height: 1.0554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.0085 X 1.0554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2815925, 1249900), (2843915, 1282540)]. +[INFO CTS-0024] Normalized sink region: [(216.61, 96.1462), (218.763, 98.6569)]. +[INFO CTS-0025] Width: 2.1531. +[INFO CTS-0026] Height: 2.5108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 2.1531 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2849965, 1108460), (2854565, 1130220)]. +[INFO CTS-0024] Normalized sink region: [(219.228, 85.2662), (219.582, 86.94)]. +[INFO CTS-0025] Width: 0.3538. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.3538 X 0.8369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3029365, 1249900), (3039485, 1282540)]. +[INFO CTS-0024] Normalized sink region: [(233.028, 96.1462), (233.807, 98.6569)]. +[INFO CTS-0025] Width: 0.7785. +[INFO CTS-0026] Height: 2.5108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.7785 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3028905, 1113900), (3033965, 1141100)]. +[INFO CTS-0024] Normalized sink region: [(232.993, 85.6846), (233.382, 87.7769)]. +[INFO CTS-0025] Width: 0.3892. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.3892 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2837085, 1247060), (2848585, 1282540)]. +[INFO CTS-0024] Normalized sink region: [(218.237, 95.9277), (219.122, 98.6569)]. +[INFO CTS-0025] Width: 0.8846. +[INFO CTS-0026] Height: 2.7292. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.8846 X 1.3646 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2891365, 1113900), (2917585, 1138260)]. +[INFO CTS-0024] Normalized sink region: [(222.413, 85.6846), (224.43, 87.5585)]. +[INFO CTS-0025] Width: 2.0169. +[INFO CTS-0026] Height: 1.8738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.0085 X 1.8738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3056045, 1252500), (3057885, 1277100)]. +[INFO CTS-0024] Normalized sink region: [(235.08, 96.3462), (235.222, 98.2385)]. +[INFO CTS-0025] Width: 0.1415. +[INFO CTS-0026] Height: 1.8923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.1415 X 0.9462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3044085, 1116500), (3062025, 1146540)]. +[INFO CTS-0024] Normalized sink region: [(234.16, 85.8846), (235.54, 88.1954)]. +[INFO CTS-0025] Width: 1.3800. +[INFO CTS-0026] Height: 2.3108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.3800 X 1.1554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2862385, 1252500), (2876185, 1282540)]. +[INFO CTS-0024] Normalized sink region: [(220.183, 96.3462), (221.245, 98.6569)]. +[INFO CTS-0025] Width: 1.0615. +[INFO CTS-0026] Height: 2.3108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0615 X 1.1554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2795685, 1105620), (2809485, 1138260)]. +[INFO CTS-0024] Normalized sink region: [(215.053, 85.0477), (216.114, 87.5585)]. +[INFO CTS-0025] Width: 1.0615. +[INFO CTS-0026] Height: 2.5108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0615 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3013265, 1255340), (3016025, 1279700)]. +[INFO CTS-0024] Normalized sink region: [(231.79, 96.5646), (232.002, 98.4385)]. +[INFO CTS-0025] Width: 0.2123. +[INFO CTS-0026] Height: 1.8738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.2123 X 0.9369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3002685, 1108460), (3021015, 1143700)]. +[INFO CTS-0024] Normalized sink region: [(230.976, 85.2662), (232.386, 87.9769)]. +[INFO CTS-0025] Width: 1.4100. +[INFO CTS-0026] Height: 2.7108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4100 X 1.3554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2794765, 1249900), (2799825, 1279700)]. +[INFO CTS-0024] Normalized sink region: [(214.982, 96.1462), (215.371, 98.4385)]. +[INFO CTS-0025] Width: 0.3892. +[INFO CTS-0026] Height: 2.2923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.3892 X 1.1462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2864685, 1108460), (2896815, 1130220)]. +[INFO CTS-0024] Normalized sink region: [(220.36, 85.2662), (222.832, 86.94)]. +[INFO CTS-0025] Width: 2.4715. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.2358 X 1.6738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3077665, 1249900), (3084565, 1279700)]. +[INFO CTS-0024] Normalized sink region: [(236.743, 96.1462), (237.274, 98.4385)]. +[INFO CTS-0025] Width: 0.5308. +[INFO CTS-0026] Height: 2.2923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.5308 X 1.1462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3073985, 1121940), (3107565, 1138260)]. +[INFO CTS-0024] Normalized sink region: [(236.46, 86.3031), (239.043, 87.5585)]. +[INFO CTS-0025] Width: 2.5831. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.2915 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2880785, 1252500), (2902405, 1279700)]. +[INFO CTS-0024] Normalized sink region: [(221.599, 96.3462), (223.262, 98.4385)]. +[INFO CTS-0025] Width: 1.6631. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.6631 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2766245, 1219860), (2788255, 1247060)]. +[INFO CTS-0024] Normalized sink region: [(212.788, 93.8354), (214.481, 95.9277)]. +[INFO CTS-0025] Width: 1.6931. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.6931 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2975085, 1358700), (2993415, 1396780)]. +[INFO CTS-0024] Normalized sink region: [(228.853, 104.515), (230.263, 107.445)]. +[INFO CTS-0025] Width: 1.4100. +[INFO CTS-0026] Height: 2.9292. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4100 X 1.4646 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2933685, 1225300), (2965815, 1244460)]. +[INFO CTS-0024] Normalized sink region: [(225.668, 94.2538), (228.14, 95.7277)]. +[INFO CTS-0025] Width: 2.4715. +[INFO CTS-0026] Height: 1.4738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.2358 X 1.4738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2761645, 1366740), (2780505, 1388500)]. +[INFO CTS-0024] Normalized sink region: [(212.434, 105.134), (213.885, 106.808)]. +[INFO CTS-0025] Width: 1.4508. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4508 X 0.8369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2889985, 1222700), (2906085, 1249900)]. +[INFO CTS-0024] Normalized sink region: [(222.307, 94.0538), (223.545, 96.1462)]. +[INFO CTS-0025] Width: 1.2385. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.2385 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3041785, 1366740), (3055585, 1393940)]. +[INFO CTS-0024] Normalized sink region: [(233.983, 105.134), (235.045, 107.226)]. +[INFO CTS-0025] Width: 1.0615. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0615 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3054665, 1217260), (3071225, 1249900)]. +[INFO CTS-0024] Normalized sink region: [(234.974, 93.6354), (236.248, 96.1462)]. +[INFO CTS-0025] Width: 1.2738. +[INFO CTS-0026] Height: 2.5108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.2738 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2886305, 1366740), (2905165, 1393940)]. +[INFO CTS-0024] Normalized sink region: [(222.023, 105.134), (223.474, 107.226)]. +[INFO CTS-0025] Width: 1.4508. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4508 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2754285, 1108460), (2767625, 1135660)]. +[INFO CTS-0024] Normalized sink region: [(211.868, 85.2662), (212.894, 87.3585)]. +[INFO CTS-0025] Width: 1.0262. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0262 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2961285, 1252500), (2993415, 1285140)]. +[INFO CTS-0024] Normalized sink region: [(227.791, 96.3462), (230.263, 98.8569)]. +[INFO CTS-0025] Width: 2.4715. +[INFO CTS-0026] Height: 2.5108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 2.4715 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2959445, 1111060), (2970485, 1138260)]. +[INFO CTS-0024] Normalized sink region: [(227.65, 85.4662), (228.499, 87.5585)]. +[INFO CTS-0025] Width: 0.8492. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.8492 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2738645, 1255340), (2772615, 1274260)]. +[INFO CTS-0024] Normalized sink region: [(210.665, 96.5646), (213.278, 98.02)]. +[INFO CTS-0025] Width: 2.6131. +[INFO CTS-0026] Height: 1.4554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.3065 X 1.4554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2865145, 1217260), (2879405, 1244460)]. +[INFO CTS-0024] Normalized sink region: [(220.396, 93.6354), (221.493, 95.7277)]. +[INFO CTS-0025] Width: 1.0969. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0969 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3016485, 1366740), (3029825, 1396780)]. +[INFO CTS-0024] Normalized sink region: [(232.037, 105.134), (233.063, 107.445)]. +[INFO CTS-0025] Width: 1.0262. +[INFO CTS-0026] Height: 2.3108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0262 X 1.1554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3029825, 1217260), (3061495, 1241620)]. +[INFO CTS-0024] Normalized sink region: [(233.063, 93.6354), (235.5, 95.5092)]. +[INFO CTS-0025] Width: 2.4362. +[INFO CTS-0026] Height: 1.8738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.2181 X 1.8738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2864685, 1364140), (2876185, 1393940)]. +[INFO CTS-0024] Normalized sink region: [(220.36, 104.934), (221.245, 107.226)]. +[INFO CTS-0025] Width: 0.8846. +[INFO CTS-0026] Height: 2.2923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.8846 X 1.1462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2795225, 1219860), (2797985, 1241620)]. +[INFO CTS-0024] Normalized sink region: [(215.017, 93.8354), (215.23, 95.5092)]. +[INFO CTS-0025] Width: 0.2123. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.2123 X 0.8369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2958525, 1358700), (2972785, 1402220)]. +[INFO CTS-0024] Normalized sink region: [(227.579, 104.515), (228.676, 107.863)]. +[INFO CTS-0025] Width: 1.0969. +[INFO CTS-0026] Height: 3.3477. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0969 X 1.6738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2961745, 1219860), (2976465, 1247060)]. +[INFO CTS-0024] Normalized sink region: [(227.827, 93.8354), (228.959, 95.9277)]. +[INFO CTS-0025] Width: 1.1323. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.1323 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2793845, 1361300), (2796145, 1388500)]. +[INFO CTS-0024] Normalized sink region: [(214.911, 104.715), (215.088, 106.808)]. +[INFO CTS-0025] Width: 0.1769. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.1769 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2835705, 1184620), (2854495, 1214420)]. +[INFO CTS-0024] Normalized sink region: [(218.131, 91.1246), (219.577, 93.4169)]. +[INFO CTS-0025] Width: 1.4454. +[INFO CTS-0026] Height: 2.2923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4454 X 1.1462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3031205, 1342380), (3053745, 1361300)]. +[INFO CTS-0024] Normalized sink region: [(233.17, 103.26), (234.903, 104.715)]. +[INFO CTS-0025] Width: 1.7338. +[INFO CTS-0026] Height: 1.4554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.8669 X 1.4554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3034885, 1200940), (3060645, 1217260)]. +[INFO CTS-0024] Normalized sink region: [(233.453, 92.38), (235.434, 93.6354)]. +[INFO CTS-0025] Width: 1.9815. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.9908 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2827885, 1347820), (2869215, 1364140)]. +[INFO CTS-0024] Normalized sink region: [(217.53, 103.678), (220.709, 104.934)]. +[INFO CTS-0025] Width: 3.1792. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.5896 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 2 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2842605, 1217260), (2869675, 1249900)]. +[INFO CTS-0024] Normalized sink region: [(218.662, 93.6354), (220.744, 96.1462)]. +[INFO CTS-0025] Width: 2.0823. +[INFO CTS-0026] Height: 2.5108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 2.0823 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2990265, 1364140), (3004065, 1388500)]. +[INFO CTS-0024] Normalized sink region: [(230.02, 104.934), (231.082, 106.808)]. +[INFO CTS-0025] Width: 1.0615. +[INFO CTS-0026] Height: 1.8738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0615 X 0.9369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2987045, 1206380), (2989805, 1236180)]. +[INFO CTS-0024] Normalized sink region: [(229.773, 92.7985), (229.985, 95.0908)]. +[INFO CTS-0025] Width: 0.2123. +[INFO CTS-0026] Height: 2.2923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.2123 X 1.1462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2808565, 1364140), (2830185, 1391340)]. +[INFO CTS-0024] Normalized sink region: [(216.043, 104.934), (217.707, 107.026)]. +[INFO CTS-0025] Width: 1.6631. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.6631 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2740485, 1222700), (2770315, 1244460)]. +[INFO CTS-0024] Normalized sink region: [(210.807, 94.0538), (213.101, 95.7277)]. +[INFO CTS-0025] Width: 2.2946. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.1473 X 1.6738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2935525, 1361300), (2947485, 1393940)]. +[INFO CTS-0024] Normalized sink region: [(225.81, 104.715), (226.73, 107.226)]. +[INFO CTS-0025] Width: 0.9200. +[INFO CTS-0026] Height: 2.5108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.9200 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2930005, 1203540), (2965815, 1222700)]. +[INFO CTS-0024] Normalized sink region: [(225.385, 92.58), (228.14, 94.0538)]. +[INFO CTS-0025] Width: 2.7546. +[INFO CTS-0026] Height: 1.4738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.3773 X 1.4738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2738645, 1344980), (2758425, 1364140)]. +[INFO CTS-0024] Normalized sink region: [(210.665, 103.46), (212.187, 104.934)]. +[INFO CTS-0025] Width: 1.5215. +[INFO CTS-0026] Height: 1.4738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.7608 X 1.4738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2818685, 1217260), (2823285, 1244460)]. +[INFO CTS-0024] Normalized sink region: [(216.822, 93.6354), (217.176, 95.7277)]. +[INFO CTS-0025] Width: 0.3538. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.3538 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2988885, 1347820), (3014645, 1364140)]. +[INFO CTS-0024] Normalized sink region: [(229.914, 103.678), (231.896, 104.934)]. +[INFO CTS-0025] Width: 1.9815. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.9908 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3001765, 1217260), (3015565, 1249900)]. +[INFO CTS-0024] Normalized sink region: [(230.905, 93.6354), (231.967, 96.1462)]. +[INFO CTS-0025] Width: 1.0615. +[INFO CTS-0026] Height: 2.5108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0615 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2776365, 1350420), (2813625, 1364140)]. +[INFO CTS-0024] Normalized sink region: [(213.567, 103.878), (216.433, 104.934)]. +[INFO CTS-0025] Width: 2.8662. +[INFO CTS-0026] Height: 1.0554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.4331 X 1.0554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2807185, 1187220), (2822825, 1211820)]. +[INFO CTS-0024] Normalized sink region: [(215.937, 91.3246), (217.14, 93.2169)]. +[INFO CTS-0025] Width: 1.2031. +[INFO CTS-0026] Height: 1.8923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.2031 X 0.9462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3009125, 1312340), (3022005, 1342380)]. +[INFO CTS-0024] Normalized sink region: [(231.471, 100.949), (232.462, 103.26)]. +[INFO CTS-0025] Width: 0.9908. +[INFO CTS-0026] Height: 2.3108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.9908 X 1.1554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3001765, 1187220), (3021015, 1211820)]. +[INFO CTS-0024] Normalized sink region: [(230.905, 91.3246), (232.386, 93.2169)]. +[INFO CTS-0025] Width: 1.4808. +[INFO CTS-0026] Height: 1.8923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4808 X 0.9462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2794765, 1317780), (2809485, 1347820)]. +[INFO CTS-0024] Normalized sink region: [(214.982, 101.368), (216.114, 103.678)]. +[INFO CTS-0025] Width: 1.1323. +[INFO CTS-0026] Height: 2.3108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.1323 X 1.1554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2873885, 1157420), (2885385, 1179180)]. +[INFO CTS-0024] Normalized sink region: [(221.068, 89.0323), (221.953, 90.7062)]. +[INFO CTS-0025] Width: 0.8846. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.8846 X 0.8369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3073985, 1296020), (3126815, 1315180)]. +[INFO CTS-0024] Normalized sink region: [(236.46, 99.6938), (240.524, 101.168)]. +[INFO CTS-0025] Width: 4.0638. +[INFO CTS-0026] Height: 1.4738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 2.0319 X 1.4738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3081345, 1151980), (3117615, 1179180)]. +[INFO CTS-0024] Normalized sink region: [(237.027, 88.6138), (239.817, 90.7062)]. +[INFO CTS-0025] Width: 2.7900. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.3950 X 2.0923 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2876185, 1301460), (2905165, 1315180)]. +[INFO CTS-0024] Normalized sink region: [(221.245, 100.112), (223.474, 101.168)]. +[INFO CTS-0025] Width: 2.2292. +[INFO CTS-0026] Height: 1.0554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.1146 X 1.0554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2880785, 1203540), (2919425, 1217260)]. +[INFO CTS-0024] Normalized sink region: [(221.599, 92.58), (224.571, 93.6354)]. +[INFO CTS-0025] Width: 2.9723. +[INFO CTS-0026] Height: 1.0554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.4862 X 1.0554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3060185, 1342380), (3083185, 1372180)]. +[INFO CTS-0024] Normalized sink region: [(235.399, 103.26), (237.168, 105.552)]. +[INFO CTS-0025] Width: 1.7692. +[INFO CTS-0026] Height: 2.2923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.7692 X 1.1462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3079965, 1184620), (3097905, 1214420)]. +[INFO CTS-0024] Normalized sink region: [(236.92, 91.1246), (238.3, 93.4169)]. +[INFO CTS-0025] Width: 1.3800. +[INFO CTS-0026] Height: 2.2923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.3800 X 1.1462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2878485, 1344980), (2917585, 1361300)]. +[INFO CTS-0024] Normalized sink region: [(221.422, 103.46), (224.43, 104.715)]. +[INFO CTS-0025] Width: 3.0077. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.5038 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2738645, 1179180), (2765325, 1195500)]. +[INFO CTS-0024] Normalized sink region: [(210.665, 90.7062), (212.717, 91.9615)]. +[INFO CTS-0025] Width: 2.0523. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.0262 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2935985, 1301460), (2956685, 1320620)]. +[INFO CTS-0024] Normalized sink region: [(225.845, 100.112), (227.437, 101.586)]. +[INFO CTS-0025] Width: 1.5923. +[INFO CTS-0026] Height: 1.4738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.7962 X 1.4738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2933685, 1162860), (2956685, 1179180)]. +[INFO CTS-0024] Normalized sink region: [(225.668, 89.4508), (227.437, 90.7062)]. +[INFO CTS-0025] Width: 1.7692. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.8846 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2740485, 1298860), (2758885, 1317780)]. +[INFO CTS-0024] Normalized sink region: [(210.807, 99.9123), (212.222, 101.368)]. +[INFO CTS-0025] Width: 1.4154. +[INFO CTS-0026] Height: 1.4554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4154 X 0.7277 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2823285, 1108460), (2827885, 1132820)]. +[INFO CTS-0024] Normalized sink region: [(217.176, 85.2662), (217.53, 87.14)]. +[INFO CTS-0025] Width: 0.3538. +[INFO CTS-0026] Height: 1.8738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.3538 X 0.9369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2986585, 1315180), (2992105, 1342380)]. +[INFO CTS-0024] Normalized sink region: [(229.737, 101.168), (230.162, 103.26)]. +[INFO CTS-0025] Width: 0.4246. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.4246 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2985665, 1113900), (2988885, 1135660)]. +[INFO CTS-0024] Normalized sink region: [(229.667, 85.6846), (229.914, 87.3585)]. +[INFO CTS-0025] Width: 0.2477. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.2477 X 0.8369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2811325, 1298860), (2834785, 1320620)]. +[INFO CTS-0024] Normalized sink region: [(216.256, 99.9123), (218.06, 101.586)]. +[INFO CTS-0025] Width: 1.8046. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.9023 X 1.6738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2866985, 1135660), (2908845, 1154580)]. +[INFO CTS-0024] Normalized sink region: [(220.537, 87.3585), (223.757, 88.8138)]. +[INFO CTS-0025] Width: 3.2200. +[INFO CTS-0026] Height: 1.4554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.6100 X 1.4554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3076285, 1279700), (3111705, 1296020)]. +[INFO CTS-0024] Normalized sink region: [(236.637, 98.4385), (239.362, 99.6938)]. +[INFO CTS-0025] Width: 2.7246. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.3623 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3079045, 1138260), (3099285, 1154580)]. +[INFO CTS-0024] Normalized sink region: [(236.85, 87.5585), (238.407, 88.8138)]. +[INFO CTS-0025] Width: 1.5569. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.7785 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2873885, 1282540), (2904705, 1298860)]. +[INFO CTS-0024] Normalized sink region: [(221.068, 98.6569), (223.439, 99.9123)]. +[INFO CTS-0025] Width: 2.3708. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.1854 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2776825, 1192660), (2814015, 1211820)]. +[INFO CTS-0024] Normalized sink region: [(213.602, 91.7431), (216.463, 93.2169)]. +[INFO CTS-0025] Width: 2.8608. +[INFO CTS-0026] Height: 1.4738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.4304 X 1.4738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2963125, 1323220), (2993415, 1350420)]. +[INFO CTS-0024] Normalized sink region: [(227.933, 101.786), (230.263, 103.878)]. +[INFO CTS-0025] Width: 2.3300. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.1650 X 2.0923 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2970485, 1184620), (2988425, 1203540)]. +[INFO CTS-0024] Normalized sink region: [(228.499, 91.1246), (229.879, 92.58)]. +[INFO CTS-0025] Width: 1.3800. +[INFO CTS-0026] Height: 1.4554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.3800 X 0.7277 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2768085, 1317780), (2779585, 1347820)]. +[INFO CTS-0024] Normalized sink region: [(212.93, 101.368), (213.814, 103.678)]. +[INFO CTS-0025] Width: 0.8846. +[INFO CTS-0026] Height: 2.3108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.8846 X 1.1554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2737265, 1200940), (2763485, 1217260)]. +[INFO CTS-0024] Normalized sink region: [(210.559, 92.38), (212.576, 93.6354)]. +[INFO CTS-0025] Width: 2.0169. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.0085 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2942885, 1323220), (2965815, 1350420)]. +[INFO CTS-0024] Normalized sink region: [(226.376, 101.786), (228.14, 103.878)]. +[INFO CTS-0025] Width: 1.7638. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.7638 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2932305, 1181780), (2965815, 1200940)]. +[INFO CTS-0024] Normalized sink region: [(225.562, 90.9062), (228.14, 92.38)]. +[INFO CTS-0025] Width: 2.5777. +[INFO CTS-0026] Height: 1.4738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.2888 X 1.4738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2738185, 1320620), (2757045, 1342380)]. +[INFO CTS-0024] Normalized sink region: [(210.63, 101.586), (212.08, 103.26)]. +[INFO CTS-0025] Width: 1.4508. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4508 X 0.8369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2819145, 1165460), (2841615, 1198100)]. +[INFO CTS-0024] Normalized sink region: [(216.857, 89.6508), (218.586, 92.1615)]. +[INFO CTS-0025] Width: 1.7285. +[INFO CTS-0026] Height: 2.5108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.7285 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3037185, 1315180), (3056505, 1339540)]. +[INFO CTS-0024] Normalized sink region: [(233.63, 101.168), (235.116, 103.042)]. +[INFO CTS-0025] Width: 1.4862. +[INFO CTS-0026] Height: 1.8738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4862 X 0.9369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3027985, 1162860), (3041785, 1195500)]. +[INFO CTS-0024] Normalized sink region: [(232.922, 89.4508), (233.983, 91.9615)]. +[INFO CTS-0025] Width: 1.0615. +[INFO CTS-0026] Height: 2.5108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0615 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2820985, 1326060), (2845365, 1347820)]. +[INFO CTS-0024] Normalized sink region: [(216.999, 102.005), (218.874, 103.678)]. +[INFO CTS-0025] Width: 1.8754. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.9377 X 1.6738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2792925, 1151980), (2807185, 1187220)]. +[INFO CTS-0024] Normalized sink region: [(214.84, 88.6138), (215.937, 91.3246)]. +[INFO CTS-0025] Width: 1.0969. +[INFO CTS-0026] Height: 2.7108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0969 X 1.3554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2999465, 1285140), (3015105, 1315180)]. +[INFO CTS-0024] Normalized sink region: [(230.728, 98.8569), (231.931, 101.168)]. +[INFO CTS-0025] Width: 1.2031. +[INFO CTS-0026] Height: 2.3108. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.2031 X 1.1554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3000845, 1160020), (3017865, 1184620)]. +[INFO CTS-0024] Normalized sink region: [(230.834, 89.2323), (232.143, 91.1246)]. +[INFO CTS-0025] Width: 1.3092. +[INFO CTS-0026] Height: 1.8923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.3092 X 0.9462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2795685, 1285140), (2809485, 1312340)]. +[INFO CTS-0024] Normalized sink region: [(215.053, 98.8569), (216.114, 100.949)]. +[INFO CTS-0025] Width: 1.0615. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0615 X 1.0462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2890445, 1170900), (2917585, 1195500)]. +[INFO CTS-0024] Normalized sink region: [(222.342, 90.0692), (224.43, 91.9615)]. +[INFO CTS-0025] Width: 2.0877. +[INFO CTS-0026] Height: 1.8923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.0438 X 1.8923 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3056965, 1309740), (3117615, 1320620)]. +[INFO CTS-0024] Normalized sink region: [(235.151, 100.749), (239.817, 101.586)]. +[INFO CTS-0025] Width: 4.6654. +[INFO CTS-0026] Height: 0.8369. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 2.3327 X 0.8369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3056505, 1179180), (3083185, 1200940)]. +[INFO CTS-0024] Normalized sink region: [(235.116, 90.7062), (237.168, 92.38)]. +[INFO CTS-0025] Width: 2.0523. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.0262 X 1.6738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2878485, 1317780), (2904245, 1342380)]. +[INFO CTS-0024] Normalized sink region: [(221.422, 101.368), (223.403, 103.26)]. +[INFO CTS-0025] Width: 1.9815. +[INFO CTS-0026] Height: 1.8923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.9908 X 1.8923 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2836625, 1157420), (2855485, 1181780)]. +[INFO CTS-0024] Normalized sink region: [(218.202, 89.0323), (219.653, 90.9062)]. +[INFO CTS-0025] Width: 1.4508. +[INFO CTS-0026] Height: 1.8738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4508 X 0.9369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3043625, 1282540), (3073915, 1309740)]. +[INFO CTS-0024] Normalized sink region: [(234.125, 98.6569), (236.455, 100.749)]. +[INFO CTS-0025] Width: 2.3300. +[INFO CTS-0026] Height: 2.0923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.1650 X 2.0923 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3055585, 1154580), (3068465, 1179180)]. +[INFO CTS-0024] Normalized sink region: [(235.045, 88.8138), (236.036, 90.7062)]. +[INFO CTS-0025] Width: 0.9908. +[INFO CTS-0026] Height: 1.8923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.9908 X 0.9462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2848125, 1301460), (2860085, 1323220)]. +[INFO CTS-0024] Normalized sink region: [(219.087, 100.112), (220.007, 101.786)]. +[INFO CTS-0025] Width: 0.9200. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.9200 X 0.8369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2740485, 1154580), (2758885, 1179180)]. +[INFO CTS-0024] Normalized sink region: [(210.807, 88.8138), (212.222, 90.7062)]. +[INFO CTS-0025] Width: 1.4154. +[INFO CTS-0026] Height: 1.8923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4154 X 0.9462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2933685, 1282540), (2960825, 1298860)]. +[INFO CTS-0024] Normalized sink region: [(225.668, 98.6569), (227.756, 99.9123)]. +[INFO CTS-0025] Width: 2.0877. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.0438 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2933685, 1143700), (2965815, 1162860)]. +[INFO CTS-0024] Normalized sink region: [(225.668, 87.9769), (228.14, 89.4508)]. +[INFO CTS-0025] Width: 2.4715. +[INFO CTS-0026] Height: 1.4738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.2358 X 1.4738 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2737265, 1277100), (2755665, 1293420)]. +[INFO CTS-0024] Normalized sink region: [(210.559, 98.2385), (211.974, 99.4938)]. +[INFO CTS-0025] Width: 1.4154. +[INFO CTS-0026] Height: 1.2554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.7077 X 1.2554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2862385, 1184620), (2878025, 1214420)]. +[INFO CTS-0024] Normalized sink region: [(220.183, 91.1246), (221.387, 93.4169)]. +[INFO CTS-0025] Width: 1.2031. +[INFO CTS-0026] Height: 2.2923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.2031 X 1.1462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3071225, 1320620), (3102435, 1339540)]. +[INFO CTS-0024] Normalized sink region: [(236.248, 101.586), (238.649, 103.042)]. +[INFO CTS-0025] Width: 2.4008. +[INFO CTS-0026] Height: 1.4554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.2004 X 1.4554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(3079505, 1217260), (3097905, 1241620)]. +[INFO CTS-0024] Normalized sink region: [(236.885, 93.6354), (238.3, 95.5092)]. +[INFO CTS-0025] Width: 1.4154. +[INFO CTS-0026] Height: 1.8738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.4154 X 0.9369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2852725, 1326060), (2876185, 1344980)]. +[INFO CTS-0024] Normalized sink region: [(219.44, 102.005), (221.245, 103.46)]. +[INFO CTS-0025] Width: 1.8046. +[INFO CTS-0026] Height: 1.4554. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 0.9023 X 1.4554 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[3\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2770385, 1157420), (2800675, 1187220)]. +[INFO CTS-0024] Normalized sink region: [(213.107, 89.0323), (215.437, 91.3246)]. +[INFO CTS-0025] Width: 2.3300. +[INFO CTS-0026] Height: 2.2923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Horizontal + Sinks per sub-region: 4 + Sub-region size: 1.1650 X 2.2923 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[2\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2973245, 1285140), (2986585, 1306900)]. +[INFO CTS-0024] Normalized sink region: [(228.711, 98.8569), (229.737, 100.531)]. +[INFO CTS-0025] Width: 1.0262. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.0262 X 0.8369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[1\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2970485, 1160020), (2988425, 1181780)]. +[INFO CTS-0024] Normalized sink region: [(228.499, 89.2323), (229.879, 90.9062)]. +[INFO CTS-0025] Width: 1.3800. +[INFO CTS-0026] Height: 1.6738. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 1.3800 X 0.8369 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true + Out of 8 sinks, 1 sinks closer to other cluster. +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[0\]. +[INFO CTS-0028] Total number of sinks: 8. +[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um. +[INFO CTS-0030] Number of static layers: 0. +[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um). +[INFO CTS-0023] Original sink region: [(2768085, 1282540), (2780965, 1312340)]. +[INFO CTS-0024] Normalized sink region: [(212.93, 98.6569), (213.92, 100.949)]. +[INFO CTS-0025] Width: 0.9908. +[INFO CTS-0026] Height: 2.2923. +[WARNING CTS-0045] Creating fake entries in the LUT. + Level 1 + Direction: Vertical + Sinks per sub-region: 4 + Sub-region size: 0.9908 X 1.1462 +[INFO CTS-0034] Segment length (rounded): 1. + Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true +[INFO CTS-0032] Stop criterion found. Max number of sinks is 15. +[INFO CTS-0035] Number of sinks covered: 8. +[INFO CTS-0036] Average source sink dist: 33384.59 dbu. +[INFO CTS-0037] Number of outlier sinks: 95. +[INFO CTS-0036] Average source sink dist: 5640.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9701.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 11980.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10754.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 11268.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9917.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9312.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 6077.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 12306.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 12335.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8462.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 11632.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 5533.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8535.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 5187.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8735.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 12425.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 4747.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 7499.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9533.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8932.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 4490.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10548.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 5044.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10511.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 7116.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9694.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 14137.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9210.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9741.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10086.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9127.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8276.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8564.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 11102.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 12510.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 6236.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 14435.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 6580.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 11801.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8743.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8636.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 11468.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 6370.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 7643.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10992.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 7483.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 5304.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10441.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 7603.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9786.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 13997.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10832.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 7258.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 4873.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8212.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 12781.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10965.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9394.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10299.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 6160.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 6679.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10398.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10595.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 5242.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 7702.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8424.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10361.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8082.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 15721.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 12992.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 6485.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9207.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8816.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8476.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 11905.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8145.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9608.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 7871.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9121.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 5617.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 6434.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 6467.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9868.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9942.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 11160.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 7558.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9310.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 14307.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 11306.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8805.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 6325.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 7632.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9571.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9977.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 14969.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10412.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 6316.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10255.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 7642.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10998.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9289.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9263.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 7094.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10676.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 14355.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 11108.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 11797.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 5726.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 16715.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 5842.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 5933.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 11242.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8057.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8960.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10619.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8554.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9027.75 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8339.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 9397.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 10234.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 6781.50 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 14888.00 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0036] Average source sink dist: 8173.25 dbu. +[INFO CTS-0037] Number of outlier sinks: 0. +[INFO CTS-0018] Created 1562 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 29. +[INFO CTS-0013] Maximum number of buffers in the clock path: 43. +[INFO CTS-0015] Created 1562 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 2:3, 3:9, 4:15, 5:22, 6:21, 7:21, 8:29, 9:16, 10:25, 11:6, 12:7, 13:7, 14:6, 15:5, 16:10, 17:15, 18:19, 19:35, 20:50, 21:51, 22:54, 23:58, 24:58, 25:56, 26:56, 27:54, 28:51, 29:34, 30:286.. +[INFO CTS-0017] Max level of the clock tree: 7. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0018] Created 3 clock buffers. +[INFO CTS-0012] Minimum number of buffers in the clock path: 2. +[INFO CTS-0013] Maximum number of buffers in the clock path: 2. +[INFO CTS-0015] Created 3 clock nets. +[INFO CTS-0016] Fanout distribution for the current clock = 4:2.. +[INFO CTS-0017] Max level of the clock tree: 1. +[INFO CTS-0098] Clock net "clk" +[INFO CTS-0099] Sinks 23266 +[INFO CTS-0100] Leaf buffers 951 +[INFO CTS-0101] Average sink wire length 6.17e+03 um +[INFO CTS-0102] Path depth 29 - 43 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 33.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 38.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 45.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 34.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 28.2 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 45.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 40.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 40.5 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 41.0 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 44.2 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 43.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 58.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 24.8 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 39.8 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 24.8 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 41.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 42.9 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 46.2 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 30.5 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 52.0 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 41.9 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 45.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 43.2 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 41.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 37.0 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 52.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 37.9 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 32.7 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 45.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 61.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 37.8 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 39.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 35.8 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 30.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 46.2 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 46.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 29.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 64.8 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 26.0 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 40.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 29.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 27.5 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 60.7 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 44.8 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 35.8 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 63.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 36.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 36.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 34.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 40.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 27.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 39.7 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 39.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 32.0 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 47.0 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 45.5 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 28.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 52.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 44.7 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 37.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 31.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 35.9 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 37.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 46.9 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 39.9 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 36.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 43.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 43.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 37.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 60.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 48.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 43.2 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 37.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 38.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 43.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 40.9 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 34.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 43.2 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 47.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 42.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 33.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 40.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 28.2 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 35.0 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 46.8 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 44.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 36.0 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 27.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 47.0 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 54.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 41.0 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 37.5 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 33.9 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 51.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 49.7 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 39.7 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 48.7 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 41.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 40.5 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 56.9 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 40.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 42.8 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 36.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 45.8 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 36.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 66.7 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 48.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 40.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 32.8 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 37.2 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 31.9 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 42.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 37.5 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 47.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 43.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 30.7 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 40.7 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 43.4 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 39.9 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 35.6 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[3\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 50.3 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[2\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 28.2 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[1\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 48.1 um +[INFO CTS-0102] Path depth 2 - 2 +[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[0\]" +[INFO CTS-0099] Sinks 8 +[INFO CTS-0100] Leaf buffers 0 +[INFO CTS-0101] Average sink wire length 32.8 um +[INFO CTS-0102] Path depth 2 - 2 + +========================================================================== +cts pre-repair report_checks -path_delay min +-------------------------------------------------------------------------- +Startpoint: externalResetVector[29] (input port clocked by clk) +Endpoint: _145486_ (removal check against rising-edge clock clk) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 v input external delay + 0.00 0.00 1.00 v externalResetVector[29] (in) + 1 0.04 externalResetVector[29] (net) + 0.01 0.00 1.00 v input21/A (sky130_fd_sc_hd__buf_16) + 0.10 0.17 1.18 v input21/X (sky130_fd_sc_hd__buf_16) + 2 0.28 net21 (net) + 0.40 0.20 1.37 v _122295_/B (sky130_fd_sc_hd__nand2_1) + 0.12 0.19 1.57 ^ _122295_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 _000072_ (net) + 0.12 0.00 1.57 ^ _145486_/SET_B (sky130_fd_sc_hd__dfbbp_1) + 1.57 data arrival time + + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.54 clk (net) + 0.02 0.01 0.01 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.21 0.22 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.24 0.00 0.22 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.24 0.46 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_0_clk (net) + 0.22 0.00 0.46 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 0.63 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_1_clk (net) + 0.13 0.00 0.63 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 0.85 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_2_clk (net) + 0.22 0.00 0.85 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.02 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_3_clk (net) + 0.13 0.00 1.02 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.25 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_4_clk (net) + 0.22 0.00 1.25 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.42 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_5_clk (net) + 0.13 0.00 1.42 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 1.55 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_6_clk (net) + 0.09 0.00 1.55 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.69 0.54 2.09 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.06 clknet_1_1_7_clk (net) + 0.69 0.00 2.09 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 2.36 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_0_clk (net) + 0.22 0.00 2.36 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 2.53 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_1_clk (net) + 0.13 0.00 2.53 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 2.76 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_2_clk (net) + 0.22 0.00 2.76 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 2.93 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_3_clk (net) + 0.13 0.00 2.93 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 3.16 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_4_clk (net) + 0.22 0.00 3.16 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.33 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_5_clk (net) + 0.13 0.00 3.33 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 3.82 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_2_6_clk (net) + 0.60 0.00 3.82 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 4.08 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_5_0_clk (net) + 0.22 0.00 4.08 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 4.25 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_1_clk (net) + 0.13 0.00 4.25 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 4.45 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_2_clk (net) + 0.17 0.00 4.45 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.43 4.88 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_5_3_clk (net) + 0.51 0.00 4.88 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 5.14 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_11_0_clk (net) + 0.22 0.00 5.14 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 5.31 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_11_1_clk (net) + 0.13 0.00 5.31 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 5.44 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_11_2_clk (net) + 0.08 0.00 5.44 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.41 5.85 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_11_3_clk (net) + 0.50 0.00 5.85 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 6.08 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_23_0_clk (net) + 0.17 0.00 6.08 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.37 6.44 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_23_1_clk (net) + 0.42 0.00 6.45 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 6.64 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_47_0_clk (net) + 0.13 0.00 6.64 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 7.00 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_47_1_clk (net) + 0.42 0.00 7.00 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.97 0.78 7.78 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1) + 8 0.08 clknet_7_94_0_clk (net) + 0.97 0.00 7.78 ^ clkbuf_leaf_813_clk/A (sky130_fd_sc_hd__buf_1) + 1.23 0.97 8.75 ^ clkbuf_leaf_813_clk/X (sky130_fd_sc_hd__buf_1) + 30 0.11 clknet_leaf_813_clk (net) + 1.23 0.00 8.75 ^ _145486_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 8.75 clock reconvergence pessimism + 0.31 9.06 library removal time + 9.06 data required time +----------------------------------------------------------------------------- + 9.06 data required time + -1.57 data arrival time +----------------------------------------------------------------------------- + -7.49 slack (VIOLATED) + + +Startpoint: iBusWB_ACK (input port clocked by clk) +Endpoint: _145508_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ iBusWB_ACK (in) + 1 0.04 iBusWB_ACK (net) + 0.01 0.00 1.00 ^ input32/A (sky130_fd_sc_hd__buf_16) + 0.22 0.20 1.20 ^ input32/X (sky130_fd_sc_hd__buf_16) + 2 0.26 net32 (net) + 0.43 0.19 1.39 ^ _061259_/A (sky130_fd_sc_hd__nand2_1) + 0.16 0.20 1.59 v _061259_/Y (sky130_fd_sc_hd__nand2_1) + 3 0.01 _025127_ (net) + 0.16 0.00 1.59 v _061260_/A (sky130_fd_sc_hd__inv_1) + 0.11 0.15 1.74 ^ _061260_/Y (sky130_fd_sc_hd__inv_1) + 3 0.01 _000004_ (net) + 0.11 0.00 1.74 ^ _122164_/A2 (sky130_fd_sc_hd__o21ai_0) + 0.05 0.08 1.82 v _122164_/Y (sky130_fd_sc_hd__o21ai_0) + 1 0.00 _023093_ (net) + 0.05 0.00 1.82 v _145508_/D (sky130_fd_sc_hd__dfrtp_4) + 1.82 data arrival time + + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.54 clk (net) + 0.02 0.01 0.01 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.21 0.22 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.24 0.00 0.22 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.24 0.46 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.22 0.00 0.46 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 0.64 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.13 0.00 0.64 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 0.86 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 0.86 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.03 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 1.03 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.26 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 1.26 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.43 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 1.43 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 1.56 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 1.56 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 1.98 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 1.98 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 2.24 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_0_clk (net) + 0.22 0.00 2.24 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 2.41 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_1_clk (net) + 0.13 0.00 2.41 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 2.64 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_2_clk (net) + 0.22 0.00 2.64 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 2.81 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_3_clk (net) + 0.13 0.00 2.81 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 3.04 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_4_clk (net) + 0.22 0.00 3.04 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.21 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_5_clk (net) + 0.13 0.00 3.21 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 3.70 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_0_6_clk (net) + 0.60 0.00 3.70 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 3.96 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_0_clk (net) + 0.22 0.00 3.96 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 4.13 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_1_clk (net) + 0.13 0.00 4.13 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 4.32 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_2_clk (net) + 0.17 0.00 4.32 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.43 4.75 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_1_3_clk (net) + 0.51 0.00 4.75 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 5.01 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_3_0_clk (net) + 0.22 0.00 5.01 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 5.19 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_1_clk (net) + 0.13 0.00 5.19 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 5.32 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_2_clk (net) + 0.08 0.00 5.32 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 5.73 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_3_3_clk (net) + 0.51 0.00 5.73 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 5.96 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_7_0_clk (net) + 0.17 0.00 5.96 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.37 6.33 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_7_1_clk (net) + 0.42 0.00 6.33 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 6.52 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_14_0_clk (net) + 0.13 0.00 6.52 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 6.88 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_14_1_clk (net) + 0.42 0.00 6.88 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.34 1.03 7.91 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1) + 12 0.12 clknet_7_28_0_clk (net) + 1.34 0.00 7.91 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1) + 1.07 0.87 8.78 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1) + 28 0.09 clknet_leaf_174_clk (net) + 1.07 0.00 8.78 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.00 8.78 clock reconvergence pessimism + 0.10 8.89 library hold time + 8.89 data required time +----------------------------------------------------------------------------- + 8.89 data required time + -1.82 data arrival time +----------------------------------------------------------------------------- + -7.07 slack (VIOLATED) + + + +========================================================================== +cts pre-repair report_checks -path_delay max +-------------------------------------------------------------------------- +Startpoint: externalResetVector[10] (input port clocked by clk) +Endpoint: _145467_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[10] (in) + 1 0.04 externalResetVector[10] (net) + 0.01 0.00 1.00 ^ input1/A (sky130_fd_sc_hd__buf_16) + 0.23 0.20 1.21 ^ input1/X (sky130_fd_sc_hd__buf_16) + 2 0.28 net1 (net) + 0.49 0.22 1.43 ^ _122240_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.11 0.16 1.59 ^ _122240_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000033_ (net) + 0.11 0.00 1.59 ^ _145467_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 1.59 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock source latency + 0.00 0.00 50.00 ^ clk (in) + 1 0.54 clk (net) + 0.02 0.01 50.01 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.21 50.22 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.24 0.00 50.22 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.24 50.46 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.22 0.00 50.46 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 50.64 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.13 0.00 50.64 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 50.86 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 50.86 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.03 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 51.03 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 51.26 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 51.26 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.43 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 51.43 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 51.56 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 51.56 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 51.98 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 51.98 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 52.24 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_0_clk (net) + 0.22 0.00 52.24 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 52.41 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_1_clk (net) + 0.13 0.00 52.41 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 52.63 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_2_clk (net) + 0.22 0.00 52.63 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 52.80 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_3_clk (net) + 0.13 0.00 52.80 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 53.03 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_4_clk (net) + 0.22 0.00 53.03 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 53.20 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_5_clk (net) + 0.13 0.00 53.20 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 53.69 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_1_6_clk (net) + 0.60 0.00 53.69 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 53.95 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_3_0_clk (net) + 0.22 0.00 53.95 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 54.13 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_1_clk (net) + 0.13 0.00 54.13 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 54.32 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_2_clk (net) + 0.17 0.00 54.32 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.43 54.75 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_3_3_clk (net) + 0.51 0.00 54.75 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 55.01 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_6_0_clk (net) + 0.22 0.00 55.01 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 55.19 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_1_clk (net) + 0.13 0.00 55.19 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 55.32 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_2_clk (net) + 0.09 0.00 55.32 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 55.73 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_6_3_clk (net) + 0.51 0.00 55.73 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 55.96 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_13_0_clk (net) + 0.17 0.00 55.96 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.26 56.21 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_5_13_1_clk (net) + 0.26 0.00 56.21 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 56.39 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_26_0_clk (net) + 0.13 0.00 56.39 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 56.76 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_26_1_clk (net) + 0.42 0.00 56.76 ^ clkbuf_7_52_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.91 0.73 57.49 ^ clkbuf_7_52_0_clk/X (sky130_fd_sc_hd__buf_1) + 7 0.08 clknet_7_52_0_clk (net) + 0.91 0.00 57.49 ^ clkbuf_leaf_230_clk/A (sky130_fd_sc_hd__buf_1) + 0.79 0.67 58.16 ^ clkbuf_leaf_230_clk/X (sky130_fd_sc_hd__buf_1) + 18 0.07 clknet_leaf_230_clk (net) + 0.79 0.00 58.16 ^ _145467_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 58.16 clock reconvergence pessimism + 0.06 58.22 library recovery time + 58.22 data required time +----------------------------------------------------------------------------- + 58.22 data required time + -1.59 data arrival time +----------------------------------------------------------------------------- + 56.62 slack (MET) + + +Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: iBusWB_STB (output port clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.54 clk (net) + 0.02 0.01 0.01 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.21 0.22 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.24 0.00 0.22 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.24 0.46 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.22 0.00 0.46 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 0.64 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.13 0.00 0.64 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 0.86 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 0.86 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.03 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 1.03 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.26 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 1.26 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.43 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 1.43 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 1.56 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 1.56 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 1.98 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 1.98 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 2.24 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_0_clk (net) + 0.22 0.00 2.24 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 2.41 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_1_clk (net) + 0.13 0.00 2.41 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 2.64 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_2_clk (net) + 0.22 0.00 2.64 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 2.81 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_3_clk (net) + 0.13 0.00 2.81 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 3.04 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_4_clk (net) + 0.22 0.00 3.04 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.21 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_5_clk (net) + 0.13 0.00 3.21 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 3.70 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_0_6_clk (net) + 0.60 0.00 3.70 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 3.96 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_0_clk (net) + 0.22 0.00 3.96 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 4.13 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_1_clk (net) + 0.13 0.00 4.13 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 4.32 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_2_clk (net) + 0.17 0.00 4.32 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.43 4.75 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_1_3_clk (net) + 0.51 0.00 4.75 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 5.01 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_3_0_clk (net) + 0.22 0.00 5.01 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 5.19 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_1_clk (net) + 0.13 0.00 5.19 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 5.32 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_2_clk (net) + 0.08 0.00 5.32 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 5.73 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_3_3_clk (net) + 0.51 0.00 5.73 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 5.96 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_7_0_clk (net) + 0.17 0.00 5.96 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.37 6.33 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_7_1_clk (net) + 0.42 0.00 6.33 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 6.52 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_14_0_clk (net) + 0.13 0.00 6.52 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 6.88 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_14_1_clk (net) + 0.42 0.00 6.88 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.34 1.03 7.91 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1) + 12 0.12 clknet_7_28_0_clk (net) + 1.34 0.00 7.91 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1) + 1.07 0.87 8.78 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1) + 28 0.09 clknet_leaf_174_clk (net) + 1.07 0.00 8.78 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.31 0.89 9.67 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4) + 3 0.26 net78 (net) + 0.31 0.00 9.67 v _122162_/A (sky130_fd_sc_hd__inv_1) + 0.11 0.15 9.83 ^ _122162_/Y (sky130_fd_sc_hd__inv_1) + 2 0.01 _061099_ (net) + 0.11 0.00 9.83 ^ _145579_/B (sky130_fd_sc_hd__ha_1) + 0.12 0.21 10.04 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1) + 1 0.01 _061100_ (net) + 0.12 0.00 10.04 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4) + 0.96 0.59 10.63 v _061258_/Y (sky130_fd_sc_hd__nand3b_4) + 3 0.31 net99 (net) + 1.02 0.18 10.81 v _122417_/A (sky130_fd_sc_hd__buf_12) + 0.11 0.50 11.31 v _122417_/X (sky130_fd_sc_hd__buf_12) + 1 0.19 net100 (net) + 0.22 0.10 11.41 v output100/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.25 11.65 v output100/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.03 iBusWB_STB (net) + 0.11 0.00 11.66 v iBusWB_STB (out) + 11.66 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (propagated) + 0.00 50.00 clock reconvergence pessimism + -1.00 49.00 output external delay + 49.00 data required time +----------------------------------------------------------------------------- + 49.00 data required time + -11.66 data arrival time +----------------------------------------------------------------------------- + 37.34 slack (MET) + + + +========================================================================== +cts pre-repair report_checks -unconstrained +-------------------------------------------------------------------------- +Startpoint: externalResetVector[10] (input port clocked by clk) +Endpoint: _145467_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[10] (in) + 1 0.04 externalResetVector[10] (net) + 0.01 0.00 1.00 ^ input1/A (sky130_fd_sc_hd__buf_16) + 0.23 0.20 1.21 ^ input1/X (sky130_fd_sc_hd__buf_16) + 2 0.28 net1 (net) + 0.49 0.22 1.43 ^ _122240_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.11 0.16 1.59 ^ _122240_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000033_ (net) + 0.11 0.00 1.59 ^ _145467_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 1.59 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock source latency + 0.00 0.00 50.00 ^ clk (in) + 1 0.54 clk (net) + 0.02 0.01 50.01 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.21 50.22 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.24 0.00 50.22 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.24 50.46 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.22 0.00 50.46 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 50.64 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.13 0.00 50.64 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 50.86 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 50.86 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.03 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 51.03 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 51.26 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 51.26 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.43 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 51.43 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 51.56 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 51.56 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 51.98 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 51.98 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 52.24 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_0_clk (net) + 0.22 0.00 52.24 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 52.41 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_1_clk (net) + 0.13 0.00 52.41 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 52.63 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_2_clk (net) + 0.22 0.00 52.63 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 52.80 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_3_clk (net) + 0.13 0.00 52.80 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 53.03 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_4_clk (net) + 0.22 0.00 53.03 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 53.20 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_5_clk (net) + 0.13 0.00 53.20 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 53.69 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_1_6_clk (net) + 0.60 0.00 53.69 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 53.95 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_3_0_clk (net) + 0.22 0.00 53.95 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 54.13 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_1_clk (net) + 0.13 0.00 54.13 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 54.32 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_2_clk (net) + 0.17 0.00 54.32 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.43 54.75 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_3_3_clk (net) + 0.51 0.00 54.75 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 55.01 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_6_0_clk (net) + 0.22 0.00 55.01 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 55.19 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_1_clk (net) + 0.13 0.00 55.19 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 55.32 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_2_clk (net) + 0.09 0.00 55.32 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 55.73 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_6_3_clk (net) + 0.51 0.00 55.73 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 55.96 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_13_0_clk (net) + 0.17 0.00 55.96 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.26 56.21 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_5_13_1_clk (net) + 0.26 0.00 56.21 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 56.39 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_26_0_clk (net) + 0.13 0.00 56.39 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 56.76 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_26_1_clk (net) + 0.42 0.00 56.76 ^ clkbuf_7_52_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.91 0.73 57.49 ^ clkbuf_7_52_0_clk/X (sky130_fd_sc_hd__buf_1) + 7 0.08 clknet_7_52_0_clk (net) + 0.91 0.00 57.49 ^ clkbuf_leaf_230_clk/A (sky130_fd_sc_hd__buf_1) + 0.79 0.67 58.16 ^ clkbuf_leaf_230_clk/X (sky130_fd_sc_hd__buf_1) + 18 0.07 clknet_leaf_230_clk (net) + 0.79 0.00 58.16 ^ _145467_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 58.16 clock reconvergence pessimism + 0.06 58.22 library recovery time + 58.22 data required time +----------------------------------------------------------------------------- + 58.22 data required time + -1.59 data arrival time +----------------------------------------------------------------------------- + 56.62 slack (MET) + + +Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: iBusWB_STB (output port clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.54 clk (net) + 0.02 0.01 0.01 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.21 0.22 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.24 0.00 0.22 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.24 0.46 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.22 0.00 0.46 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 0.64 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.13 0.00 0.64 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 0.86 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 0.86 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.03 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 1.03 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.26 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 1.26 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.43 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 1.43 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 1.56 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 1.56 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 1.98 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 1.98 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 2.24 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_0_clk (net) + 0.22 0.00 2.24 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 2.41 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_1_clk (net) + 0.13 0.00 2.41 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 2.64 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_2_clk (net) + 0.22 0.00 2.64 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 2.81 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_3_clk (net) + 0.13 0.00 2.81 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 3.04 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_4_clk (net) + 0.22 0.00 3.04 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.21 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_5_clk (net) + 0.13 0.00 3.21 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 3.70 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_0_6_clk (net) + 0.60 0.00 3.70 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 3.96 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_0_clk (net) + 0.22 0.00 3.96 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 4.13 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_1_clk (net) + 0.13 0.00 4.13 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 4.32 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_2_clk (net) + 0.17 0.00 4.32 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.43 4.75 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_1_3_clk (net) + 0.51 0.00 4.75 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 5.01 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_3_0_clk (net) + 0.22 0.00 5.01 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 5.19 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_1_clk (net) + 0.13 0.00 5.19 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 5.32 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_2_clk (net) + 0.08 0.00 5.32 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 5.73 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_3_3_clk (net) + 0.51 0.00 5.73 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 5.96 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_7_0_clk (net) + 0.17 0.00 5.96 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.37 6.33 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_7_1_clk (net) + 0.42 0.00 6.33 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 6.52 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_14_0_clk (net) + 0.13 0.00 6.52 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 6.88 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_14_1_clk (net) + 0.42 0.00 6.88 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.34 1.03 7.91 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1) + 12 0.12 clknet_7_28_0_clk (net) + 1.34 0.00 7.91 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1) + 1.07 0.87 8.78 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1) + 28 0.09 clknet_leaf_174_clk (net) + 1.07 0.00 8.78 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.31 0.89 9.67 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4) + 3 0.26 net78 (net) + 0.31 0.00 9.67 v _122162_/A (sky130_fd_sc_hd__inv_1) + 0.11 0.15 9.83 ^ _122162_/Y (sky130_fd_sc_hd__inv_1) + 2 0.01 _061099_ (net) + 0.11 0.00 9.83 ^ _145579_/B (sky130_fd_sc_hd__ha_1) + 0.12 0.21 10.04 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1) + 1 0.01 _061100_ (net) + 0.12 0.00 10.04 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4) + 0.96 0.59 10.63 v _061258_/Y (sky130_fd_sc_hd__nand3b_4) + 3 0.31 net99 (net) + 1.02 0.18 10.81 v _122417_/A (sky130_fd_sc_hd__buf_12) + 0.11 0.50 11.31 v _122417_/X (sky130_fd_sc_hd__buf_12) + 1 0.19 net100 (net) + 0.22 0.10 11.41 v output100/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.25 11.65 v output100/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.03 iBusWB_STB (net) + 0.11 0.00 11.66 v iBusWB_STB (out) + 11.66 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (propagated) + 0.00 50.00 clock reconvergence pessimism + -1.00 49.00 output external delay + 49.00 data required time +----------------------------------------------------------------------------- + 49.00 data required time + -11.66 data arrival time +----------------------------------------------------------------------------- + 37.34 slack (MET) + + + +========================================================================== +cts pre-repair report_check_types -max_slew -max_cap -max_fanout -violators +-------------------------------------------------------------------------- +max slew + +Pin Limit Slew Slack +------------------------------------------------------------ +clkbuf_leaf_106_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_107_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_108_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_109_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_110_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_111_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_112_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_368_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_369_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_370_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_89_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_90_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_91_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_opt_16_0_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_opt_17_0_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_opt_18_0_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_7_12_0_clk/X 1.51 1.73 -0.22 (VIOLATED) +clkbuf_leaf_105_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_113_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_114_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_115_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_116_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_117_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_118_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_119_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_120_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_125_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_363_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_367_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_opt_19_0_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_opt_20_0_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_7_13_0_clk/X 1.51 1.64 -0.14 (VIOLATED) +clkbuf_leaf_132_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_133_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_134_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_137_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_138_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_141_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_142_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_143_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_144_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_146_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_147_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_148_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_opt_34_0_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_7_24_0_clk/X 1.51 1.59 -0.09 (VIOLATED) +clkbuf_leaf_254_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_259_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_263_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_264_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_265_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_268_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_269_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_270_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_271_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_272_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_opt_58_0_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_opt_59_0_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_opt_60_0_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_7_51_0_clk/X 1.51 1.53 -0.02 (VIOLATED) + +max capacitance + +Pin Limit Cap Slack +------------------------------------------------------------ +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +clkbuf_7_12_0_clk/X 0.13 0.15 -0.02 (VIOLATED) +clkbuf_7_13_0_clk/X 0.13 0.14 -0.01 (VIOLATED) +clkbuf_7_24_0_clk/X 0.13 0.14 -0.01 (VIOLATED) +clkbuf_7_51_0_clk/X 0.13 0.13 -0.00 (VIOLATED) +clkbuf_7_6_0_clk/X 0.13 0.13 -0.00 (VIOLATED) + + +========================================================================== +cts pre-repair max_slew_violation_count +-------------------------------------------------------------------------- +max slew violation count 60 + +========================================================================== +cts pre-repair max_fanout_violation_count +-------------------------------------------------------------------------- +max fanout violation count 0 + +========================================================================== +cts pre-repair max_cap_violation_count +-------------------------------------------------------------------------- +max cap violation count 997 + +========================================================================== +cts pre-repair report_tns +-------------------------------------------------------------------------- +tns 0.00 + +========================================================================== +cts pre-repair report_wns +-------------------------------------------------------------------------- +wns 0.00 + +========================================================================== +cts pre-repair report_worst_slack +-------------------------------------------------------------------------- +worst slack 37.34 + +========================================================================== +cts pre-repair report_clock_skew +-------------------------------------------------------------------------- +Clock clk +Latency CRPR Skew +_144168_/CLK ^ + 8.75 +_143176_/CLK ^ + 7.15 0.00 1.60 + + +========================================================================== +cts pre-repair report_power +-------------------------------------------------------------------------- +Group Internal Switching Leakage Total + Power Power Power Power +---------------------------------------------------------------- +Sequential 2.00e-02 6.22e-04 2.04e-07 2.07e-02 58.1% +Combinational 3.51e-03 1.14e-02 2.26e-07 1.49e-02 41.9% +Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +---------------------------------------------------------------- +Total 2.36e-02 1.20e-02 4.30e-07 3.56e-02 100.0% + 66.2% 33.8% 0.0% + +========================================================================== +cts pre-repair report_design_area +-------------------------------------------------------------------------- +Design area 1428102 u^2 7% utilization. + +[INFO RSZ-0058] Using max wire length 2431um. +[INFO RSZ-0047] Found 1 long wires. +[INFO RSZ-0048] Inserted 1 buffers in 1 nets. + +========================================================================== +cts post-repair report_checks -path_delay min +-------------------------------------------------------------------------- +Startpoint: externalResetVector[29] (input port clocked by clk) +Endpoint: _145486_ (removal check against rising-edge clock clk) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 v input external delay + 0.00 0.00 1.00 v externalResetVector[29] (in) + 1 0.04 externalResetVector[29] (net) + 0.01 0.00 1.00 v input21/A (sky130_fd_sc_hd__buf_16) + 0.10 0.17 1.18 v input21/X (sky130_fd_sc_hd__buf_16) + 2 0.28 net21 (net) + 0.40 0.20 1.37 v _122295_/B (sky130_fd_sc_hd__nand2_1) + 0.12 0.19 1.57 ^ _122295_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 _000072_ (net) + 0.12 0.00 1.57 ^ _145486_/SET_B (sky130_fd_sc_hd__dfbbp_1) + 1.57 data arrival time + + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.27 0.59 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.24 0.00 0.59 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.24 0.82 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_0_clk (net) + 0.22 0.00 0.83 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.00 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_1_clk (net) + 0.13 0.00 1.00 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.22 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_2_clk (net) + 0.22 0.00 1.22 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.39 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_3_clk (net) + 0.13 0.00 1.39 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.61 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_4_clk (net) + 0.22 0.00 1.61 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.79 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_5_clk (net) + 0.13 0.00 1.79 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 1.92 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_6_clk (net) + 0.09 0.00 1.92 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.69 0.54 2.45 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.06 clknet_1_1_7_clk (net) + 0.69 0.00 2.46 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 2.73 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_0_clk (net) + 0.22 0.00 2.73 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 2.90 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_1_clk (net) + 0.13 0.00 2.90 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 3.12 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_2_clk (net) + 0.22 0.00 3.12 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.30 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_3_clk (net) + 0.13 0.00 3.30 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 3.52 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_4_clk (net) + 0.22 0.00 3.52 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.70 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_5_clk (net) + 0.13 0.00 3.70 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 4.18 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_2_6_clk (net) + 0.60 0.00 4.18 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 4.45 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_5_0_clk (net) + 0.22 0.00 4.45 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 4.62 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_1_clk (net) + 0.13 0.00 4.62 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 4.81 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_2_clk (net) + 0.17 0.00 4.81 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.43 5.24 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_5_3_clk (net) + 0.51 0.00 5.24 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 5.50 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_11_0_clk (net) + 0.22 0.00 5.50 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 5.68 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_11_1_clk (net) + 0.13 0.00 5.68 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 5.81 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_11_2_clk (net) + 0.08 0.00 5.81 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.41 6.21 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_11_3_clk (net) + 0.50 0.00 6.22 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 6.44 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_23_0_clk (net) + 0.17 0.00 6.44 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.37 6.81 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_23_1_clk (net) + 0.42 0.00 6.81 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 7.00 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_47_0_clk (net) + 0.13 0.00 7.00 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 7.37 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_47_1_clk (net) + 0.42 0.00 7.37 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.97 0.78 8.14 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1) + 8 0.08 clknet_7_94_0_clk (net) + 0.97 0.00 8.14 ^ clkbuf_leaf_813_clk/A (sky130_fd_sc_hd__buf_1) + 1.23 0.97 9.11 ^ clkbuf_leaf_813_clk/X (sky130_fd_sc_hd__buf_1) + 30 0.11 clknet_leaf_813_clk (net) + 1.23 0.00 9.11 ^ _145486_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 9.11 clock reconvergence pessimism + 0.31 9.42 library removal time + 9.42 data required time +----------------------------------------------------------------------------- + 9.42 data required time + -1.57 data arrival time +----------------------------------------------------------------------------- + -7.86 slack (VIOLATED) + + +Startpoint: iBusWB_ACK (input port clocked by clk) +Endpoint: _145508_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ iBusWB_ACK (in) + 1 0.04 iBusWB_ACK (net) + 0.01 0.00 1.00 ^ input32/A (sky130_fd_sc_hd__buf_16) + 0.22 0.20 1.20 ^ input32/X (sky130_fd_sc_hd__buf_16) + 2 0.26 net32 (net) + 0.43 0.19 1.39 ^ _061259_/A (sky130_fd_sc_hd__nand2_1) + 0.16 0.20 1.59 v _061259_/Y (sky130_fd_sc_hd__nand2_1) + 3 0.01 _025127_ (net) + 0.16 0.00 1.59 v _061260_/A (sky130_fd_sc_hd__inv_1) + 0.11 0.15 1.74 ^ _061260_/Y (sky130_fd_sc_hd__inv_1) + 3 0.01 _000004_ (net) + 0.11 0.00 1.74 ^ _122164_/A2 (sky130_fd_sc_hd__o21ai_0) + 0.05 0.08 1.82 v _122164_/Y (sky130_fd_sc_hd__o21ai_0) + 1 0.00 _023093_ (net) + 0.05 0.00 1.82 v _145508_/D (sky130_fd_sc_hd__dfrtp_4) + 1.82 data arrival time + + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.27 0.59 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.24 0.00 0.59 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.24 0.83 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.22 0.00 0.83 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.00 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.13 0.00 1.00 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.23 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 1.23 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.40 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 1.40 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.62 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 1.62 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.80 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 1.80 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 1.93 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 1.93 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 2.34 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 2.34 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 2.61 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_0_clk (net) + 0.22 0.00 2.61 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 2.78 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_1_clk (net) + 0.13 0.00 2.78 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 3.00 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_2_clk (net) + 0.22 0.00 3.00 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.18 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_3_clk (net) + 0.13 0.00 3.18 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 3.40 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_4_clk (net) + 0.22 0.00 3.40 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.58 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_5_clk (net) + 0.13 0.00 3.58 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 4.06 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_0_6_clk (net) + 0.60 0.00 4.06 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 4.33 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_0_clk (net) + 0.22 0.00 4.33 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 4.50 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_1_clk (net) + 0.13 0.00 4.50 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 4.69 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_2_clk (net) + 0.17 0.00 4.69 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.43 5.12 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_1_3_clk (net) + 0.51 0.00 5.12 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 5.38 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_3_0_clk (net) + 0.22 0.00 5.38 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 5.55 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_1_clk (net) + 0.13 0.00 5.55 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 5.68 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_2_clk (net) + 0.08 0.00 5.68 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 6.10 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_3_3_clk (net) + 0.51 0.00 6.10 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 6.32 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_7_0_clk (net) + 0.17 0.00 6.32 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.37 6.69 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_7_1_clk (net) + 0.42 0.00 6.69 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 6.89 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_14_0_clk (net) + 0.13 0.00 6.89 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 7.25 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_14_1_clk (net) + 0.42 0.00 7.25 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.34 1.03 8.28 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1) + 12 0.12 clknet_7_28_0_clk (net) + 1.34 0.00 8.28 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1) + 1.07 0.87 9.15 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1) + 28 0.09 clknet_leaf_174_clk (net) + 1.07 0.00 9.15 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.00 9.15 clock reconvergence pessimism + 0.10 9.25 library hold time + 9.25 data required time +----------------------------------------------------------------------------- + 9.25 data required time + -1.82 data arrival time +----------------------------------------------------------------------------- + -7.43 slack (VIOLATED) + + + +========================================================================== +cts post-repair report_checks -path_delay max +-------------------------------------------------------------------------- +Startpoint: externalResetVector[10] (input port clocked by clk) +Endpoint: _145467_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[10] (in) + 1 0.04 externalResetVector[10] (net) + 0.01 0.00 1.00 ^ input1/A (sky130_fd_sc_hd__buf_16) + 0.23 0.20 1.21 ^ input1/X (sky130_fd_sc_hd__buf_16) + 2 0.28 net1 (net) + 0.49 0.22 1.43 ^ _122240_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.11 0.16 1.59 ^ _122240_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000033_ (net) + 0.11 0.00 1.59 ^ _145467_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 1.59 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock source latency + 0.00 0.00 50.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 50.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 50.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 50.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.27 50.59 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.24 0.00 50.59 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.24 50.83 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.22 0.00 50.83 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.00 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.13 0.00 51.00 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 51.23 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 51.23 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.40 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 51.40 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 51.62 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 51.62 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.80 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 51.80 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 51.93 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 51.93 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 52.34 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 52.34 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 52.60 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_0_clk (net) + 0.22 0.00 52.60 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 52.78 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_1_clk (net) + 0.13 0.00 52.78 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 53.00 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_2_clk (net) + 0.22 0.00 53.00 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 53.17 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_3_clk (net) + 0.13 0.00 53.17 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 53.39 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_4_clk (net) + 0.22 0.00 53.39 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 53.57 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_5_clk (net) + 0.13 0.00 53.57 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 54.05 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_1_6_clk (net) + 0.60 0.00 54.05 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 54.32 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_3_0_clk (net) + 0.22 0.00 54.32 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 54.49 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_1_clk (net) + 0.13 0.00 54.49 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 54.69 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_2_clk (net) + 0.17 0.00 54.69 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.43 55.12 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_3_3_clk (net) + 0.51 0.00 55.12 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 55.38 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_6_0_clk (net) + 0.22 0.00 55.38 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 55.55 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_1_clk (net) + 0.13 0.00 55.55 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 55.68 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_2_clk (net) + 0.09 0.00 55.68 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 56.10 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_6_3_clk (net) + 0.51 0.00 56.10 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 56.32 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_13_0_clk (net) + 0.17 0.00 56.32 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.26 56.58 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_5_13_1_clk (net) + 0.26 0.00 56.58 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 56.76 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_26_0_clk (net) + 0.13 0.00 56.76 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 57.12 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_26_1_clk (net) + 0.42 0.00 57.12 ^ clkbuf_7_52_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.91 0.73 57.86 ^ clkbuf_7_52_0_clk/X (sky130_fd_sc_hd__buf_1) + 7 0.08 clknet_7_52_0_clk (net) + 0.91 0.00 57.86 ^ clkbuf_leaf_230_clk/A (sky130_fd_sc_hd__buf_1) + 0.79 0.67 58.53 ^ clkbuf_leaf_230_clk/X (sky130_fd_sc_hd__buf_1) + 18 0.07 clknet_leaf_230_clk (net) + 0.79 0.00 58.53 ^ _145467_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 58.53 clock reconvergence pessimism + 0.06 58.58 library recovery time + 58.58 data required time +----------------------------------------------------------------------------- + 58.58 data required time + -1.59 data arrival time +----------------------------------------------------------------------------- + 56.99 slack (MET) + + +Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: iBusWB_STB (output port clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.27 0.59 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.24 0.00 0.59 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.24 0.83 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.22 0.00 0.83 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.00 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.13 0.00 1.00 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.23 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 1.23 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.40 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 1.40 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.62 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 1.62 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.80 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 1.80 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 1.93 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 1.93 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 2.34 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 2.34 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 2.61 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_0_clk (net) + 0.22 0.00 2.61 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 2.78 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_1_clk (net) + 0.13 0.00 2.78 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 3.00 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_2_clk (net) + 0.22 0.00 3.00 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.18 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_3_clk (net) + 0.13 0.00 3.18 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 3.40 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_4_clk (net) + 0.22 0.00 3.40 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.58 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_5_clk (net) + 0.13 0.00 3.58 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 4.06 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_0_6_clk (net) + 0.60 0.00 4.06 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 4.33 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_0_clk (net) + 0.22 0.00 4.33 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 4.50 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_1_clk (net) + 0.13 0.00 4.50 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 4.69 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_2_clk (net) + 0.17 0.00 4.69 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.43 5.12 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_1_3_clk (net) + 0.51 0.00 5.12 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 5.38 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_3_0_clk (net) + 0.22 0.00 5.38 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 5.55 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_1_clk (net) + 0.13 0.00 5.55 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 5.68 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_2_clk (net) + 0.08 0.00 5.68 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 6.10 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_3_3_clk (net) + 0.51 0.00 6.10 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 6.32 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_7_0_clk (net) + 0.17 0.00 6.32 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.37 6.69 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_7_1_clk (net) + 0.42 0.00 6.69 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 6.89 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_14_0_clk (net) + 0.13 0.00 6.89 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 7.25 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_14_1_clk (net) + 0.42 0.00 7.25 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.34 1.03 8.28 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1) + 12 0.12 clknet_7_28_0_clk (net) + 1.34 0.00 8.28 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1) + 1.07 0.87 9.15 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1) + 28 0.09 clknet_leaf_174_clk (net) + 1.07 0.00 9.15 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.31 0.89 10.04 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4) + 3 0.26 net78 (net) + 0.31 0.00 10.04 v _122162_/A (sky130_fd_sc_hd__inv_1) + 0.11 0.15 10.19 ^ _122162_/Y (sky130_fd_sc_hd__inv_1) + 2 0.01 _061099_ (net) + 0.11 0.00 10.19 ^ _145579_/B (sky130_fd_sc_hd__ha_1) + 0.12 0.21 10.40 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1) + 1 0.01 _061100_ (net) + 0.12 0.00 10.40 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4) + 0.96 0.59 11.00 v _061258_/Y (sky130_fd_sc_hd__nand3b_4) + 3 0.31 net99 (net) + 1.02 0.18 11.18 v _122417_/A (sky130_fd_sc_hd__buf_12) + 0.11 0.50 11.68 v _122417_/X (sky130_fd_sc_hd__buf_12) + 1 0.19 net100 (net) + 0.22 0.10 11.77 v output100/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.25 12.02 v output100/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.03 iBusWB_STB (net) + 0.11 0.00 12.02 v iBusWB_STB (out) + 12.02 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (propagated) + 0.00 50.00 clock reconvergence pessimism + -1.00 49.00 output external delay + 49.00 data required time +----------------------------------------------------------------------------- + 49.00 data required time + -12.02 data arrival time +----------------------------------------------------------------------------- + 36.98 slack (MET) + + + +========================================================================== +cts post-repair report_checks -unconstrained +-------------------------------------------------------------------------- +Startpoint: externalResetVector[10] (input port clocked by clk) +Endpoint: _145467_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[10] (in) + 1 0.04 externalResetVector[10] (net) + 0.01 0.00 1.00 ^ input1/A (sky130_fd_sc_hd__buf_16) + 0.23 0.20 1.21 ^ input1/X (sky130_fd_sc_hd__buf_16) + 2 0.28 net1 (net) + 0.49 0.22 1.43 ^ _122240_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.11 0.16 1.59 ^ _122240_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000033_ (net) + 0.11 0.00 1.59 ^ _145467_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 1.59 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock source latency + 0.00 0.00 50.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 50.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 50.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 50.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.27 50.59 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.24 0.00 50.59 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.24 50.83 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.22 0.00 50.83 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.00 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.13 0.00 51.00 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 51.23 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 51.23 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.40 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 51.40 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 51.62 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 51.62 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.80 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 51.80 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 51.93 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 51.93 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 52.34 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 52.34 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 52.60 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_0_clk (net) + 0.22 0.00 52.60 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 52.78 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_1_clk (net) + 0.13 0.00 52.78 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 53.00 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_2_clk (net) + 0.22 0.00 53.00 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 53.17 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_3_clk (net) + 0.13 0.00 53.17 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 53.39 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_4_clk (net) + 0.22 0.00 53.39 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 53.57 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_5_clk (net) + 0.13 0.00 53.57 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 54.05 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_1_6_clk (net) + 0.60 0.00 54.05 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 54.32 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_3_0_clk (net) + 0.22 0.00 54.32 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 54.49 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_1_clk (net) + 0.13 0.00 54.49 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 54.69 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_2_clk (net) + 0.17 0.00 54.69 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.43 55.12 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_3_3_clk (net) + 0.51 0.00 55.12 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 55.38 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_6_0_clk (net) + 0.22 0.00 55.38 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 55.55 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_1_clk (net) + 0.13 0.00 55.55 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 55.68 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_2_clk (net) + 0.09 0.00 55.68 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 56.10 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_6_3_clk (net) + 0.51 0.00 56.10 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 56.32 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_13_0_clk (net) + 0.17 0.00 56.32 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.26 56.58 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_5_13_1_clk (net) + 0.26 0.00 56.58 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 56.76 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_26_0_clk (net) + 0.13 0.00 56.76 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 57.12 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_26_1_clk (net) + 0.42 0.00 57.12 ^ clkbuf_7_52_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.91 0.73 57.86 ^ clkbuf_7_52_0_clk/X (sky130_fd_sc_hd__buf_1) + 7 0.08 clknet_7_52_0_clk (net) + 0.91 0.00 57.86 ^ clkbuf_leaf_230_clk/A (sky130_fd_sc_hd__buf_1) + 0.79 0.67 58.53 ^ clkbuf_leaf_230_clk/X (sky130_fd_sc_hd__buf_1) + 18 0.07 clknet_leaf_230_clk (net) + 0.79 0.00 58.53 ^ _145467_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 58.53 clock reconvergence pessimism + 0.06 58.58 library recovery time + 58.58 data required time +----------------------------------------------------------------------------- + 58.58 data required time + -1.59 data arrival time +----------------------------------------------------------------------------- + 56.99 slack (MET) + + +Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: iBusWB_STB (output port clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.27 0.59 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.24 0.00 0.59 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.24 0.83 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.22 0.00 0.83 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.00 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.13 0.00 1.00 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.23 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 1.23 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.40 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 1.40 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.62 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 1.62 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.80 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 1.80 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 1.93 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 1.93 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 2.34 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 2.34 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 2.61 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_0_clk (net) + 0.22 0.00 2.61 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 2.78 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_1_clk (net) + 0.13 0.00 2.78 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 3.00 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_2_clk (net) + 0.22 0.00 3.00 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.18 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_3_clk (net) + 0.13 0.00 3.18 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 3.40 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_4_clk (net) + 0.22 0.00 3.40 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.58 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_5_clk (net) + 0.13 0.00 3.58 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 4.06 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_0_6_clk (net) + 0.60 0.00 4.06 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 4.33 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_0_clk (net) + 0.22 0.00 4.33 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 4.50 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_1_clk (net) + 0.13 0.00 4.50 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 4.69 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_2_clk (net) + 0.17 0.00 4.69 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.43 5.12 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_1_3_clk (net) + 0.51 0.00 5.12 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 5.38 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_3_0_clk (net) + 0.22 0.00 5.38 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 5.55 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_1_clk (net) + 0.13 0.00 5.55 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 5.68 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_2_clk (net) + 0.08 0.00 5.68 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 6.10 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_3_3_clk (net) + 0.51 0.00 6.10 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 6.32 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_7_0_clk (net) + 0.17 0.00 6.32 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.37 6.69 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_7_1_clk (net) + 0.42 0.00 6.69 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 6.89 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_14_0_clk (net) + 0.13 0.00 6.89 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 7.25 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_14_1_clk (net) + 0.42 0.00 7.25 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.34 1.03 8.28 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1) + 12 0.12 clknet_7_28_0_clk (net) + 1.34 0.00 8.28 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1) + 1.07 0.87 9.15 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1) + 28 0.09 clknet_leaf_174_clk (net) + 1.07 0.00 9.15 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.31 0.89 10.04 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4) + 3 0.26 net78 (net) + 0.31 0.00 10.04 v _122162_/A (sky130_fd_sc_hd__inv_1) + 0.11 0.15 10.19 ^ _122162_/Y (sky130_fd_sc_hd__inv_1) + 2 0.01 _061099_ (net) + 0.11 0.00 10.19 ^ _145579_/B (sky130_fd_sc_hd__ha_1) + 0.12 0.21 10.40 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1) + 1 0.01 _061100_ (net) + 0.12 0.00 10.40 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4) + 0.96 0.59 11.00 v _061258_/Y (sky130_fd_sc_hd__nand3b_4) + 3 0.31 net99 (net) + 1.02 0.18 11.18 v _122417_/A (sky130_fd_sc_hd__buf_12) + 0.11 0.50 11.68 v _122417_/X (sky130_fd_sc_hd__buf_12) + 1 0.19 net100 (net) + 0.22 0.10 11.77 v output100/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.25 12.02 v output100/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.03 iBusWB_STB (net) + 0.11 0.00 12.02 v iBusWB_STB (out) + 12.02 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (propagated) + 0.00 50.00 clock reconvergence pessimism + -1.00 49.00 output external delay + 49.00 data required time +----------------------------------------------------------------------------- + 49.00 data required time + -12.02 data arrival time +----------------------------------------------------------------------------- + 36.98 slack (MET) + + + +========================================================================== +cts post-repair report_check_types -max_slew -max_cap -max_fanout -violators +-------------------------------------------------------------------------- +max slew + +Pin Limit Slew Slack +------------------------------------------------------------ +clkbuf_leaf_106_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_107_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_108_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_109_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_110_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_111_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_112_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_368_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_369_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_370_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_89_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_90_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_91_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_opt_16_0_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_opt_17_0_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_opt_18_0_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_7_12_0_clk/X 1.51 1.73 -0.22 (VIOLATED) +clkbuf_leaf_105_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_113_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_114_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_115_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_116_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_117_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_118_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_119_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_120_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_125_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_363_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_367_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_opt_19_0_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_opt_20_0_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_7_13_0_clk/X 1.51 1.64 -0.14 (VIOLATED) +clkbuf_leaf_132_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_133_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_134_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_137_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_138_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_141_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_142_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_143_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_144_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_146_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_147_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_leaf_148_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_opt_34_0_clk/A 1.50 1.59 -0.09 (VIOLATED) +clkbuf_7_24_0_clk/X 1.51 1.59 -0.09 (VIOLATED) +clkbuf_leaf_254_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_259_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_263_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_264_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_265_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_268_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_269_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_270_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_271_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_leaf_272_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_opt_58_0_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_opt_59_0_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_opt_60_0_clk/A 1.50 1.53 -0.03 (VIOLATED) +clkbuf_7_51_0_clk/X 1.51 1.53 -0.02 (VIOLATED) + +max capacitance + +Pin Limit Cap Slack +------------------------------------------------------------ +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +clkbuf_7_12_0_clk/X 0.13 0.15 -0.02 (VIOLATED) +clkbuf_7_13_0_clk/X 0.13 0.14 -0.01 (VIOLATED) +clkbuf_7_24_0_clk/X 0.13 0.14 -0.01 (VIOLATED) +clkbuf_7_51_0_clk/X 0.13 0.13 -0.00 (VIOLATED) +clkbuf_7_6_0_clk/X 0.13 0.13 -0.00 (VIOLATED) + + +========================================================================== +cts post-repair max_slew_violation_count +-------------------------------------------------------------------------- +max slew violation count 60 + +========================================================================== +cts post-repair max_fanout_violation_count +-------------------------------------------------------------------------- +max fanout violation count 0 + +========================================================================== +cts post-repair max_cap_violation_count +-------------------------------------------------------------------------- +max cap violation count 997 + +========================================================================== +cts post-repair report_tns +-------------------------------------------------------------------------- +tns 0.00 + +========================================================================== +cts post-repair report_wns +-------------------------------------------------------------------------- +wns 0.00 + +========================================================================== +cts post-repair report_worst_slack +-------------------------------------------------------------------------- +worst slack 36.98 + +========================================================================== +cts post-repair report_clock_skew +-------------------------------------------------------------------------- +Clock clk +Latency CRPR Skew +_144168_/CLK ^ + 9.11 +_143176_/CLK ^ + 7.52 0.00 1.60 + + +========================================================================== +cts post-repair report_power +-------------------------------------------------------------------------- +Group Internal Switching Leakage Total + Power Power Power Power +---------------------------------------------------------------- +Sequential 2.00e-02 6.22e-04 2.04e-07 2.07e-02 58.1% +Combinational 3.51e-03 1.14e-02 2.26e-07 1.49e-02 41.9% +Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +---------------------------------------------------------------- +Total 2.36e-02 1.20e-02 4.30e-07 3.56e-02 100.0% + 66.2% 33.8% 0.0% + +========================================================================== +cts post-repair report_design_area +-------------------------------------------------------------------------- +Design area 1428130 u^2 7% utilization. + +Placement Analysis +--------------------------------- +total displacement 6264.4 u +average displacement 0.0 u +max displacement 23.0 u +original HPWL 3510024.9 u +legalized HPWL 3564168.9 u +delta HPWL 2 % + +Repair setup violations... +Repair hold violations... +[INFO RSZ-0046] Found 71 endpoints with hold violations. +[INFO RSZ-0032] Inserted 465 hold buffers. +Placement Analysis +--------------------------------- +total displacement 1289.8 u +average displacement 0.0 u +max displacement 14.1 u +original HPWL 3565534.9 u +legalized HPWL 3566067.2 u +delta HPWL 0 % + + +========================================================================== +cts final report_checks -path_delay min +-------------------------------------------------------------------------- +Startpoint: externalResetVector[15] (input port clocked by clk) +Endpoint: _145472_ (removal check against rising-edge clock clk) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 v input external delay + 0.00 0.00 1.00 v externalResetVector[15] (in) + 1 0.04 externalResetVector[15] (net) + 0.01 0.00 1.00 v input6/A (sky130_fd_sc_hd__buf_16) + 0.02 0.10 1.10 v input6/X (sky130_fd_sc_hd__buf_16) + 1 0.00 net6 (net) + 0.02 0.00 1.10 v hold77/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.63 1.74 v hold77/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2942 (net) + 0.13 0.00 1.74 v hold78/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.68 2.42 v hold78/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2943 (net) + 0.13 0.00 2.42 v hold79/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.68 3.10 v hold79/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2944 (net) + 0.13 0.00 3.10 v hold80/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.68 3.78 v hold80/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2945 (net) + 0.13 0.00 3.78 v hold81/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.68 4.46 v hold81/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2946 (net) + 0.13 0.00 4.47 v hold82/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.68 5.15 v hold82/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2947 (net) + 0.13 0.00 5.15 v hold83/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.68 5.83 v hold83/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2948 (net) + 0.13 0.00 5.83 v hold84/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.68 6.51 v hold84/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2949 (net) + 0.13 0.00 6.51 v hold85/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.68 7.19 v hold85/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2950 (net) + 0.13 0.00 7.19 v hold86/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.68 7.88 v hold86/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2951 (net) + 0.13 0.00 7.88 v hold87/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.68 8.56 v hold87/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2952 (net) + 0.13 0.00 8.56 v hold88/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.13 0.68 9.24 v hold88/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2953 (net) + 0.13 0.00 9.24 v hold89/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.68 9.92 v hold89/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2954 (net) + 0.14 0.00 9.93 v hold90/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.23 10.16 v hold90/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.04 net2941 (net) + 0.09 0.01 10.16 v _122280_/B (sky130_fd_sc_hd__nand2_1) + 0.06 0.09 10.26 ^ _122280_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 _000044_ (net) + 0.06 0.00 10.26 ^ _145472_/SET_B (sky130_fd_sc_hd__dfbbp_1) + 10.26 data arrival time + + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 0.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.00 0.60 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.24 0.84 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.21 0.00 0.84 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.18 1.03 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.15 0.00 1.03 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.23 1.25 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 1.25 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 1.43 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 1.43 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.65 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 1.65 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.83 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 1.83 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 1.96 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 1.96 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 2.38 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 2.38 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.27 2.64 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_0_clk (net) + 0.23 0.00 2.64 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 2.82 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_1_clk (net) + 0.13 0.00 2.82 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.22 3.04 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_2_clk (net) + 0.21 0.00 3.04 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 3.22 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_3_clk (net) + 0.14 0.00 3.22 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.22 3.44 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_4_clk (net) + 0.21 0.00 3.44 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 3.62 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_5_clk (net) + 0.14 0.00 3.62 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 4.10 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_1_6_clk (net) + 0.60 0.00 4.10 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 4.37 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_3_0_clk (net) + 0.22 0.00 4.37 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 4.55 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_1_clk (net) + 0.14 0.00 4.55 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.19 0.20 4.75 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_2_clk (net) + 0.19 0.00 4.75 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.43 5.18 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_3_3_clk (net) + 0.50 0.00 5.18 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.25 5.43 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_6_0_clk (net) + 0.20 0.00 5.43 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 5.61 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_1_clk (net) + 0.14 0.00 5.61 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 5.75 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_2_clk (net) + 0.09 0.00 5.75 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.41 6.15 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_6_3_clk (net) + 0.50 0.00 6.16 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 6.38 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_13_0_clk (net) + 0.17 0.00 6.38 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.26 6.64 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_5_13_1_clk (net) + 0.26 0.00 6.64 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 6.82 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_26_0_clk (net) + 0.13 0.00 6.82 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 7.18 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_26_1_clk (net) + 0.42 0.00 7.18 ^ clkbuf_7_53_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.11 0.87 8.05 ^ clkbuf_7_53_0_clk/X (sky130_fd_sc_hd__buf_1) + 8 0.10 clknet_7_53_0_clk (net) + 1.11 0.00 8.05 ^ clkbuf_leaf_227_clk/A (sky130_fd_sc_hd__buf_1) + 1.19 0.95 9.00 ^ clkbuf_leaf_227_clk/X (sky130_fd_sc_hd__buf_1) + 30 0.10 clknet_leaf_227_clk (net) + 1.19 0.00 9.00 ^ _145472_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 9.00 clock reconvergence pessimism + 0.31 9.31 library removal time + 9.31 data required time +----------------------------------------------------------------------------- + 9.31 data required time + -10.26 data arrival time +----------------------------------------------------------------------------- + 0.94 slack (MET) + + +Startpoint: _144909_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _122440_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 0.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.00 0.60 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.25 0.85 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_0_clk (net) + 0.22 0.00 0.85 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 1.02 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_1_clk (net) + 0.12 0.00 1.02 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 1.25 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_2_clk (net) + 0.23 0.00 1.25 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 1.42 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_3_clk (net) + 0.13 0.00 1.42 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 1.64 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_4_clk (net) + 0.20 0.00 1.64 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 1.82 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_5_clk (net) + 0.14 0.00 1.82 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 1.95 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_6_clk (net) + 0.08 0.00 1.95 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.68 0.53 2.48 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.06 clknet_1_1_7_clk (net) + 0.68 0.00 2.48 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.28 2.76 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_0_clk (net) + 0.23 0.00 2.76 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 2.94 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_1_clk (net) + 0.14 0.00 2.94 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 3.17 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_2_clk (net) + 0.23 0.00 3.17 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 3.35 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_3_clk (net) + 0.12 0.00 3.35 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.22 3.56 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_4_clk (net) + 0.21 0.00 3.56 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 3.74 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_5_clk (net) + 0.14 0.00 3.74 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.59 0.48 4.23 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_2_6_clk (net) + 0.59 0.00 4.23 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.26 4.49 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_5_0_clk (net) + 0.21 0.00 4.49 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 4.67 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_1_clk (net) + 0.14 0.00 4.67 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.20 4.87 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_2_clk (net) + 0.18 0.00 4.87 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.44 5.30 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_5_3_clk (net) + 0.51 0.00 5.30 ^ clkbuf_4_10_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 5.56 ^ clkbuf_4_10_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_10_0_clk (net) + 0.22 0.00 5.56 ^ clkbuf_4_10_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 5.73 ^ clkbuf_4_10_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_10_1_clk (net) + 0.12 0.00 5.73 ^ clkbuf_4_10_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 5.87 ^ clkbuf_4_10_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_10_2_clk (net) + 0.09 0.00 5.87 ^ clkbuf_4_10_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.41 6.28 ^ clkbuf_4_10_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_10_3_clk (net) + 0.50 0.00 6.28 ^ clkbuf_5_20_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 6.50 ^ clkbuf_5_20_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_20_0_clk (net) + 0.17 0.00 6.50 ^ clkbuf_5_20_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.29 0.28 6.78 ^ clkbuf_5_20_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_5_20_1_clk (net) + 0.29 0.00 6.78 ^ clkbuf_6_40_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 6.96 ^ clkbuf_6_40_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_40_0_clk (net) + 0.13 0.00 6.96 ^ clkbuf_6_40_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.26 7.23 ^ clkbuf_6_40_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_6_40_1_clk (net) + 0.28 0.00 7.23 ^ clkbuf_7_80_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.36 0.35 7.57 ^ clkbuf_7_80_0_clk/X (sky130_fd_sc_hd__buf_1) + 5 0.03 clknet_7_80_0_clk (net) + 0.36 0.00 7.57 ^ clkbuf_opt_73_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 7.76 ^ clkbuf_opt_73_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_73_0_clk (net) + 0.13 0.00 7.76 ^ clkbuf_opt_73_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.26 8.02 ^ clkbuf_opt_73_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_opt_73_1_clk (net) + 0.27 0.00 8.02 ^ clkbuf_leaf_712_clk/A (sky130_fd_sc_hd__buf_1) + 0.37 0.35 8.37 ^ clkbuf_leaf_712_clk/X (sky130_fd_sc_hd__buf_1) + 9 0.03 clknet_leaf_712_clk (net) + 0.37 0.00 8.37 ^ _144909_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.03 0.42 8.79 v _144909_/Q (sky130_fd_sc_hd__dfxtp_4) + 2 0.00 dataCache_1_.io_mem_cmd_payload_data[21] (net) + 0.03 0.00 8.79 v hold459/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.23 0.72 9.51 v hold459/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.04 net3324 (net) + 0.23 0.01 9.52 v hold460/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.24 0.82 10.34 v hold460/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.05 net3325 (net) + 0.24 0.01 10.35 v hold461/A (sky130_fd_sc_hd__buf_6) + 0.02 0.20 10.54 v hold461/X (sky130_fd_sc_hd__buf_6) + 1 0.00 net3323 (net) + 0.02 0.00 10.54 v hold466/A (sky130_fd_sc_hd__buf_6) + 0.08 0.16 10.70 v hold466/X (sky130_fd_sc_hd__buf_6) + 1 0.08 net3330 (net) + 0.09 0.02 10.72 v _122440_/D (sky130_fd_sc_hd__dfxtp_4) + 10.72 data arrival time + + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 0.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.00 0.60 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.25 0.85 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_0_clk (net) + 0.22 0.00 0.85 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 1.02 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_1_clk (net) + 0.12 0.00 1.02 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 1.25 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_2_clk (net) + 0.23 0.00 1.25 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 1.42 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_3_clk (net) + 0.13 0.00 1.42 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 1.64 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_4_clk (net) + 0.20 0.00 1.64 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 1.82 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_5_clk (net) + 0.14 0.00 1.82 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 1.95 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_6_clk (net) + 0.08 0.00 1.95 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.68 0.53 2.48 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.06 clknet_1_1_7_clk (net) + 0.68 0.00 2.48 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.28 2.76 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_0_clk (net) + 0.23 0.00 2.76 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 2.94 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_1_clk (net) + 0.14 0.00 2.94 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 3.17 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_2_clk (net) + 0.23 0.00 3.17 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 3.35 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_3_clk (net) + 0.12 0.00 3.35 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.22 3.56 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_4_clk (net) + 0.21 0.00 3.56 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 3.74 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_5_clk (net) + 0.14 0.00 3.74 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.59 0.48 4.23 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_2_6_clk (net) + 0.59 0.00 4.23 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.26 4.49 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_5_0_clk (net) + 0.21 0.00 4.49 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 4.67 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_1_clk (net) + 0.14 0.00 4.67 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.20 4.87 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_2_clk (net) + 0.18 0.00 4.87 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.44 5.30 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_5_3_clk (net) + 0.51 0.00 5.30 ^ clkbuf_4_10_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 5.56 ^ clkbuf_4_10_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_10_0_clk (net) + 0.22 0.00 5.56 ^ clkbuf_4_10_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 5.73 ^ clkbuf_4_10_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_10_1_clk (net) + 0.12 0.00 5.73 ^ clkbuf_4_10_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 5.87 ^ clkbuf_4_10_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_10_2_clk (net) + 0.09 0.00 5.87 ^ clkbuf_4_10_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.41 6.28 ^ clkbuf_4_10_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_10_3_clk (net) + 0.50 0.00 6.28 ^ clkbuf_5_21_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 6.50 ^ clkbuf_5_21_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_21_0_clk (net) + 0.17 0.00 6.50 ^ clkbuf_5_21_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.41 0.36 6.86 ^ clkbuf_5_21_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.03 clknet_5_21_1_clk (net) + 0.41 0.00 6.86 ^ clkbuf_6_42_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 7.05 ^ clkbuf_6_42_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_42_0_clk (net) + 0.13 0.00 7.05 ^ clkbuf_6_42_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.37 0.33 7.38 ^ clkbuf_6_42_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.03 clknet_6_42_1_clk (net) + 0.37 0.00 7.38 ^ clkbuf_7_85_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.65 0.55 7.93 ^ clkbuf_7_85_0_clk/X (sky130_fd_sc_hd__buf_1) + 4 0.06 clknet_7_85_0_clk (net) + 0.65 0.00 7.93 ^ clkbuf_opt_83_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.20 8.13 ^ clkbuf_opt_83_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_83_0_clk (net) + 0.12 0.00 8.13 ^ clkbuf_opt_83_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 8.35 ^ clkbuf_opt_83_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_opt_83_1_clk (net) + 0.22 0.00 8.35 ^ clkbuf_opt_83_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 8.52 ^ clkbuf_opt_83_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_83_2_clk (net) + 0.13 0.00 8.52 ^ clkbuf_opt_83_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 8.74 ^ clkbuf_opt_83_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_opt_83_3_clk (net) + 0.22 0.00 8.74 ^ clkbuf_opt_83_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 8.91 ^ clkbuf_opt_83_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_83_4_clk (net) + 0.13 0.00 8.91 ^ clkbuf_opt_83_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 9.13 ^ clkbuf_opt_83_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_opt_83_5_clk (net) + 0.22 0.00 9.13 ^ clkbuf_opt_83_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 9.31 ^ clkbuf_opt_83_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_83_6_clk (net) + 0.13 0.00 9.31 ^ clkbuf_opt_83_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 9.53 ^ clkbuf_opt_83_7_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_opt_83_7_clk (net) + 0.22 0.00 9.53 ^ clkbuf_opt_83_8_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 9.70 ^ clkbuf_opt_83_8_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_83_8_clk (net) + 0.13 0.00 9.70 ^ clkbuf_opt_83_9_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 9.92 ^ clkbuf_opt_83_9_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_opt_83_9_clk (net) + 0.22 0.00 9.92 ^ clkbuf_opt_83_10_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 10.10 ^ clkbuf_opt_83_10_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_83_10_clk (net) + 0.13 0.00 10.10 ^ clkbuf_opt_83_11_clk/A (sky130_fd_sc_hd__buf_1) + 0.69 0.56 10.65 ^ clkbuf_opt_83_11_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.06 clknet_opt_83_11_clk (net) + 0.69 0.00 10.65 ^ _122440_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.00 10.65 clock reconvergence pessimism + 0.05 10.70 library hold time + 10.70 data required time +----------------------------------------------------------------------------- + 10.70 data required time + -10.72 data arrival time +----------------------------------------------------------------------------- + 0.02 slack (MET) + + + +========================================================================== +cts final report_checks -path_delay max +-------------------------------------------------------------------------- +Startpoint: externalResetVector[11] (input port clocked by clk) +Endpoint: _145468_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[11] (in) + 1 0.04 externalResetVector[11] (net) + 0.01 0.00 1.00 ^ input2/A (sky130_fd_sc_hd__buf_16) + 0.02 0.07 1.07 ^ input2/X (sky130_fd_sc_hd__buf_16) + 1 0.00 net2 (net) + 0.02 0.00 1.07 ^ hold413/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.65 1.73 ^ hold413/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3278 (net) + 0.21 0.00 1.73 ^ hold414/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 2.43 ^ hold414/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3279 (net) + 0.21 0.00 2.43 ^ hold415/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 3.13 ^ hold415/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3280 (net) + 0.21 0.00 3.14 ^ hold416/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 3.84 ^ hold416/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3281 (net) + 0.21 0.00 3.84 ^ hold417/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 4.54 ^ hold417/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3282 (net) + 0.21 0.00 4.54 ^ hold418/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 5.24 ^ hold418/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3283 (net) + 0.21 0.00 5.24 ^ hold419/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 5.95 ^ hold419/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3284 (net) + 0.21 0.00 5.95 ^ hold420/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 6.65 ^ hold420/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3285 (net) + 0.21 0.00 6.65 ^ hold421/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 7.35 ^ hold421/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3286 (net) + 0.21 0.00 7.35 ^ hold422/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 8.06 ^ hold422/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3287 (net) + 0.21 0.00 8.06 ^ hold423/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 8.76 ^ hold423/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3288 (net) + 0.21 0.00 8.76 ^ hold424/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 9.46 ^ hold424/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3289 (net) + 0.21 0.00 9.46 ^ hold425/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 10.17 ^ hold425/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3290 (net) + 0.21 0.00 10.17 ^ hold426/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.26 10.43 ^ hold426/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.04 net3277 (net) + 0.13 0.01 10.43 ^ _122241_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.11 0.12 10.55 ^ _122241_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000035_ (net) + 0.11 0.00 10.55 ^ _145468_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 10.55 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock source latency + 0.00 0.00 50.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 50.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 50.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 50.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 50.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.00 50.60 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.24 50.84 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.21 0.00 50.84 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.18 51.03 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.15 0.00 51.03 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.23 51.25 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 51.25 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 51.43 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 51.43 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 51.65 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 51.65 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.83 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 51.83 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 51.96 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 51.96 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 52.38 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 52.38 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.27 52.64 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_0_clk (net) + 0.23 0.00 52.64 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 52.82 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_1_clk (net) + 0.13 0.00 52.82 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.22 53.04 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_2_clk (net) + 0.21 0.00 53.04 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 53.22 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_3_clk (net) + 0.14 0.00 53.22 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.22 53.44 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_4_clk (net) + 0.21 0.00 53.44 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 53.62 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_5_clk (net) + 0.14 0.00 53.62 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 54.10 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_1_6_clk (net) + 0.60 0.00 54.10 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 54.37 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_3_0_clk (net) + 0.22 0.00 54.37 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 54.55 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_1_clk (net) + 0.14 0.00 54.55 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.19 0.20 54.75 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_2_clk (net) + 0.19 0.00 54.75 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.43 55.18 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_3_3_clk (net) + 0.50 0.00 55.18 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.25 55.43 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_6_0_clk (net) + 0.20 0.00 55.43 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 55.61 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_1_clk (net) + 0.14 0.00 55.61 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 55.75 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_2_clk (net) + 0.09 0.00 55.75 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.41 56.15 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_6_3_clk (net) + 0.50 0.00 56.16 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 56.38 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_13_0_clk (net) + 0.17 0.00 56.38 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.26 56.64 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_5_13_1_clk (net) + 0.26 0.00 56.64 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 56.82 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_26_0_clk (net) + 0.13 0.00 56.82 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 57.18 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_26_1_clk (net) + 0.42 0.00 57.18 ^ clkbuf_7_52_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.91 0.73 57.91 ^ clkbuf_7_52_0_clk/X (sky130_fd_sc_hd__buf_1) + 7 0.08 clknet_7_52_0_clk (net) + 0.91 0.00 57.91 ^ clkbuf_leaf_226_clk/A (sky130_fd_sc_hd__buf_1) + 0.78 0.67 58.58 ^ clkbuf_leaf_226_clk/X (sky130_fd_sc_hd__buf_1) + 19 0.07 clknet_leaf_226_clk (net) + 0.78 0.00 58.58 ^ _145468_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 58.58 clock reconvergence pessimism + 0.05 58.63 library recovery time + 58.63 data required time +----------------------------------------------------------------------------- + 58.63 data required time + -10.55 data arrival time +----------------------------------------------------------------------------- + 48.08 slack (MET) + + +Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: iBusWB_STB (output port clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 0.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.00 0.60 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.24 0.84 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.21 0.00 0.84 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.18 1.03 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.15 0.00 1.03 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.23 1.25 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 1.25 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 1.43 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 1.43 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.65 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 1.65 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.83 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 1.83 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 1.96 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 1.96 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 2.38 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 2.38 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.27 2.64 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_0_clk (net) + 0.23 0.00 2.64 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 2.82 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_1_clk (net) + 0.13 0.00 2.82 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 3.05 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_2_clk (net) + 0.23 0.00 3.05 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 3.23 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_3_clk (net) + 0.13 0.00 3.23 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 3.46 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_4_clk (net) + 0.23 0.00 3.46 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 3.63 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_5_clk (net) + 0.12 0.00 3.63 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.59 0.48 4.11 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_0_6_clk (net) + 0.59 0.00 4.11 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 4.37 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_0_clk (net) + 0.22 0.00 4.37 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 4.55 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_1_clk (net) + 0.12 0.00 4.55 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 4.74 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_2_clk (net) + 0.17 0.00 4.74 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.54 0.45 5.19 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_3_1_3_clk (net) + 0.54 0.00 5.19 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.25 5.44 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_3_0_clk (net) + 0.20 0.00 5.44 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 5.62 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_1_clk (net) + 0.14 0.00 5.62 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.14 5.75 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_2_clk (net) + 0.10 0.00 5.75 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.49 0.40 6.16 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_3_3_clk (net) + 0.49 0.00 6.16 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 6.38 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_7_0_clk (net) + 0.17 0.00 6.38 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.44 0.38 6.77 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_7_1_clk (net) + 0.44 0.00 6.77 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 6.96 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_14_0_clk (net) + 0.13 0.00 6.96 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 7.32 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_14_1_clk (net) + 0.42 0.00 7.32 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.34 1.03 8.35 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1) + 12 0.12 clknet_7_28_0_clk (net) + 1.34 0.00 8.35 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1) + 1.09 0.88 9.24 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1) + 28 0.09 clknet_leaf_174_clk (net) + 1.09 0.00 9.24 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.31 0.89 10.13 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4) + 3 0.26 net78 (net) + 0.31 0.00 10.13 v _122162_/A (sky130_fd_sc_hd__inv_1) + 0.11 0.15 10.29 ^ _122162_/Y (sky130_fd_sc_hd__inv_1) + 2 0.01 _061099_ (net) + 0.11 0.00 10.29 ^ _145579_/B (sky130_fd_sc_hd__ha_1) + 0.12 0.21 10.49 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1) + 1 0.01 _061100_ (net) + 0.12 0.00 10.49 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4) + 0.96 0.59 11.09 v _061258_/Y (sky130_fd_sc_hd__nand3b_4) + 3 0.31 net99 (net) + 1.02 0.18 11.27 v _122417_/A (sky130_fd_sc_hd__buf_12) + 0.11 0.50 11.77 v _122417_/X (sky130_fd_sc_hd__buf_12) + 1 0.19 net100 (net) + 0.22 0.10 11.87 v output100/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.25 12.11 v output100/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.03 iBusWB_STB (net) + 0.11 0.00 12.12 v iBusWB_STB (out) + 12.12 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (propagated) + 0.00 50.00 clock reconvergence pessimism + -1.00 49.00 output external delay + 49.00 data required time +----------------------------------------------------------------------------- + 49.00 data required time + -12.12 data arrival time +----------------------------------------------------------------------------- + 36.88 slack (MET) + + + +========================================================================== +cts final report_checks -unconstrained +-------------------------------------------------------------------------- +Startpoint: externalResetVector[11] (input port clocked by clk) +Endpoint: _145468_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[11] (in) + 1 0.04 externalResetVector[11] (net) + 0.01 0.00 1.00 ^ input2/A (sky130_fd_sc_hd__buf_16) + 0.02 0.07 1.07 ^ input2/X (sky130_fd_sc_hd__buf_16) + 1 0.00 net2 (net) + 0.02 0.00 1.07 ^ hold413/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.65 1.73 ^ hold413/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3278 (net) + 0.21 0.00 1.73 ^ hold414/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 2.43 ^ hold414/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3279 (net) + 0.21 0.00 2.43 ^ hold415/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 3.13 ^ hold415/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3280 (net) + 0.21 0.00 3.14 ^ hold416/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 3.84 ^ hold416/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3281 (net) + 0.21 0.00 3.84 ^ hold417/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 4.54 ^ hold417/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3282 (net) + 0.21 0.00 4.54 ^ hold418/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 5.24 ^ hold418/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3283 (net) + 0.21 0.00 5.24 ^ hold419/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 5.95 ^ hold419/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3284 (net) + 0.21 0.00 5.95 ^ hold420/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 6.65 ^ hold420/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3285 (net) + 0.21 0.00 6.65 ^ hold421/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 7.35 ^ hold421/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3286 (net) + 0.21 0.00 7.35 ^ hold422/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 8.06 ^ hold422/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3287 (net) + 0.21 0.00 8.06 ^ hold423/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 8.76 ^ hold423/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3288 (net) + 0.21 0.00 8.76 ^ hold424/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 9.46 ^ hold424/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3289 (net) + 0.21 0.00 9.46 ^ hold425/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.21 0.70 10.17 ^ hold425/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3290 (net) + 0.21 0.00 10.17 ^ hold426/A (sky130_fd_sc_hd__clkbuf_4) + 0.13 0.26 10.43 ^ hold426/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.04 net3277 (net) + 0.13 0.01 10.43 ^ _122241_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.11 0.12 10.55 ^ _122241_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000035_ (net) + 0.11 0.00 10.55 ^ _145468_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 10.55 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock source latency + 0.00 0.00 50.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 50.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 50.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 50.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 50.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.00 50.60 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.24 50.84 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.21 0.00 50.84 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.18 51.03 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.15 0.00 51.03 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.23 51.25 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 51.25 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 51.43 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 51.43 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 51.65 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 51.65 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.83 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 51.83 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 51.96 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 51.96 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 52.38 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 52.38 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.27 52.64 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_0_clk (net) + 0.23 0.00 52.64 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 52.82 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_1_clk (net) + 0.13 0.00 52.82 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.22 53.04 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_2_clk (net) + 0.21 0.00 53.04 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 53.22 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_3_clk (net) + 0.14 0.00 53.22 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.22 53.44 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_4_clk (net) + 0.21 0.00 53.44 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 53.62 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_5_clk (net) + 0.14 0.00 53.62 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 54.10 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_1_6_clk (net) + 0.60 0.00 54.10 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 54.37 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_3_0_clk (net) + 0.22 0.00 54.37 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 54.55 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_1_clk (net) + 0.14 0.00 54.55 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.19 0.20 54.75 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_2_clk (net) + 0.19 0.00 54.75 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.43 55.18 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_3_3_clk (net) + 0.50 0.00 55.18 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.25 55.43 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_6_0_clk (net) + 0.20 0.00 55.43 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 55.61 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_1_clk (net) + 0.14 0.00 55.61 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 55.75 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_2_clk (net) + 0.09 0.00 55.75 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.41 56.15 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_6_3_clk (net) + 0.50 0.00 56.16 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 56.38 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_13_0_clk (net) + 0.17 0.00 56.38 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.26 56.64 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_5_13_1_clk (net) + 0.26 0.00 56.64 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 56.82 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_26_0_clk (net) + 0.13 0.00 56.82 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 57.18 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_26_1_clk (net) + 0.42 0.00 57.18 ^ clkbuf_7_52_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.91 0.73 57.91 ^ clkbuf_7_52_0_clk/X (sky130_fd_sc_hd__buf_1) + 7 0.08 clknet_7_52_0_clk (net) + 0.91 0.00 57.91 ^ clkbuf_leaf_226_clk/A (sky130_fd_sc_hd__buf_1) + 0.78 0.67 58.58 ^ clkbuf_leaf_226_clk/X (sky130_fd_sc_hd__buf_1) + 19 0.07 clknet_leaf_226_clk (net) + 0.78 0.00 58.58 ^ _145468_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 58.58 clock reconvergence pessimism + 0.05 58.63 library recovery time + 58.63 data required time +----------------------------------------------------------------------------- + 58.63 data required time + -10.55 data arrival time +----------------------------------------------------------------------------- + 48.08 slack (MET) + + +Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: iBusWB_STB (output port clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.20 clk (net) + 0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.35 net2865 (net) + 0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 0.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.00 0.60 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.24 0.84 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.21 0.00 0.84 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.18 1.03 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.15 0.00 1.03 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.23 1.25 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.00 1.25 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 1.43 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.13 0.00 1.43 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.65 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.00 1.65 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.83 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.13 0.00 1.83 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 1.96 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 1.96 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.42 2.38 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.00 2.38 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.27 2.64 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_0_clk (net) + 0.23 0.00 2.64 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 2.82 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_1_clk (net) + 0.13 0.00 2.82 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 3.05 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_2_clk (net) + 0.23 0.00 3.05 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 3.23 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_3_clk (net) + 0.13 0.00 3.23 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 3.46 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_4_clk (net) + 0.23 0.00 3.46 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 3.63 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_5_clk (net) + 0.12 0.00 3.63 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.59 0.48 4.11 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_0_6_clk (net) + 0.59 0.00 4.11 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 4.37 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_0_clk (net) + 0.22 0.00 4.37 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 4.55 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_1_clk (net) + 0.12 0.00 4.55 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 4.74 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_2_clk (net) + 0.17 0.00 4.74 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.54 0.45 5.19 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_3_1_3_clk (net) + 0.54 0.00 5.19 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.25 5.44 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_3_0_clk (net) + 0.20 0.00 5.44 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 5.62 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_1_clk (net) + 0.14 0.00 5.62 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.14 5.75 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_2_clk (net) + 0.10 0.00 5.75 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.49 0.40 6.16 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_3_3_clk (net) + 0.49 0.00 6.16 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 6.38 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_7_0_clk (net) + 0.17 0.00 6.38 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.44 0.38 6.77 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_7_1_clk (net) + 0.44 0.00 6.77 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 6.96 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_14_0_clk (net) + 0.13 0.00 6.96 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 7.32 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_14_1_clk (net) + 0.42 0.00 7.32 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.34 1.03 8.35 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1) + 12 0.12 clknet_7_28_0_clk (net) + 1.34 0.00 8.35 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1) + 1.09 0.88 9.24 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1) + 28 0.09 clknet_leaf_174_clk (net) + 1.09 0.00 9.24 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.31 0.89 10.13 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4) + 3 0.26 net78 (net) + 0.31 0.00 10.13 v _122162_/A (sky130_fd_sc_hd__inv_1) + 0.11 0.15 10.29 ^ _122162_/Y (sky130_fd_sc_hd__inv_1) + 2 0.01 _061099_ (net) + 0.11 0.00 10.29 ^ _145579_/B (sky130_fd_sc_hd__ha_1) + 0.12 0.21 10.49 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1) + 1 0.01 _061100_ (net) + 0.12 0.00 10.49 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4) + 0.96 0.59 11.09 v _061258_/Y (sky130_fd_sc_hd__nand3b_4) + 3 0.31 net99 (net) + 1.02 0.18 11.27 v _122417_/A (sky130_fd_sc_hd__buf_12) + 0.11 0.50 11.77 v _122417_/X (sky130_fd_sc_hd__buf_12) + 1 0.19 net100 (net) + 0.22 0.10 11.87 v output100/A (sky130_fd_sc_hd__clkbuf_2) + 0.11 0.25 12.11 v output100/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.03 iBusWB_STB (net) + 0.11 0.00 12.12 v iBusWB_STB (out) + 12.12 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (propagated) + 0.00 50.00 clock reconvergence pessimism + -1.00 49.00 output external delay + 49.00 data required time +----------------------------------------------------------------------------- + 49.00 data required time + -12.12 data arrival time +----------------------------------------------------------------------------- + 36.88 slack (MET) + + + +========================================================================== +cts final report_check_types -max_slew -max_cap -max_fanout -violators +-------------------------------------------------------------------------- +max slew + +Pin Limit Slew Slack +------------------------------------------------------------ +clkbuf_leaf_106_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_107_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_108_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_109_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_110_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_111_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_112_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_368_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_369_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_370_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_89_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_90_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_91_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_opt_16_0_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_opt_17_0_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_opt_18_0_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_7_12_0_clk/X 1.51 1.73 -0.22 (VIOLATED) +clkbuf_leaf_132_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_leaf_133_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_leaf_134_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_leaf_137_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_leaf_138_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_leaf_141_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_leaf_142_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_leaf_143_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_leaf_144_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_leaf_146_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_leaf_147_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_leaf_148_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_opt_34_0_clk/A 1.50 1.67 -0.17 (VIOLATED) +clkbuf_7_24_0_clk/X 1.51 1.67 -0.16 (VIOLATED) +clkbuf_leaf_105_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_leaf_113_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_leaf_114_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_leaf_115_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_leaf_116_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_leaf_117_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_leaf_118_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_leaf_119_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_leaf_120_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_leaf_125_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_leaf_363_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_leaf_367_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_opt_19_0_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_opt_20_0_clk/A 1.50 1.58 -0.08 (VIOLATED) +clkbuf_7_13_0_clk/X 1.51 1.58 -0.08 (VIOLATED) +clkbuf_leaf_254_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_259_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_263_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_264_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_265_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_268_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_269_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_270_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_271_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_272_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_opt_58_0_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_opt_59_0_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_opt_60_0_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_7_51_0_clk/X 1.51 1.57 -0.06 (VIOLATED) +clkbuf_leaf_62_clk/A 1.50 1.51 -0.01 (VIOLATED) +clkbuf_leaf_65_clk/A 1.50 1.51 -0.01 (VIOLATED) +clkbuf_leaf_85_clk/A 1.50 1.51 -0.01 (VIOLATED) +clkbuf_leaf_92_clk/A 1.50 1.51 -0.01 (VIOLATED) +clkbuf_leaf_93_clk/A 1.50 1.51 -0.01 (VIOLATED) +clkbuf_leaf_94_clk/A 1.50 1.51 -0.01 (VIOLATED) +clkbuf_leaf_95_clk/A 1.50 1.51 -0.01 (VIOLATED) +clkbuf_leaf_96_clk/A 1.50 1.51 -0.01 (VIOLATED) +clkbuf_leaf_97_clk/A 1.50 1.51 -0.01 (VIOLATED) +clkbuf_leaf_98_clk/A 1.50 1.51 -0.01 (VIOLATED) +clkbuf_leaf_99_clk/A 1.50 1.51 -0.01 (VIOLATED) +clkbuf_opt_11_0_clk/A 1.50 1.51 -0.01 (VIOLATED) + +max capacitance + +Pin Limit Cap Slack +------------------------------------------------------------ +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +clkbuf_7_12_0_clk/X 0.13 0.15 -0.02 (VIOLATED) +clkbuf_7_24_0_clk/X 0.13 0.14 -0.01 (VIOLATED) +clkbuf_7_13_0_clk/X 0.13 0.14 -0.01 (VIOLATED) +clkbuf_7_51_0_clk/X 0.13 0.14 -0.01 (VIOLATED) +clkbuf_7_6_0_clk/X 0.13 0.13 -0.00 (VIOLATED) + + +========================================================================== +cts final max_slew_violation_count +-------------------------------------------------------------------------- +max slew violation count 72 + +========================================================================== +cts final max_fanout_violation_count +-------------------------------------------------------------------------- +max fanout violation count 0 + +========================================================================== +cts final max_cap_violation_count +-------------------------------------------------------------------------- +max cap violation count 997 + +========================================================================== +cts final report_tns +-------------------------------------------------------------------------- +tns 0.00 + +========================================================================== +cts final report_wns +-------------------------------------------------------------------------- +wns 0.00 + +========================================================================== +cts final report_worst_slack +-------------------------------------------------------------------------- +worst slack 36.88 + +========================================================================== +cts final report_clock_skew +-------------------------------------------------------------------------- +Clock clk +Latency CRPR Skew +_144168_/CLK ^ + 9.21 +_143176_/CLK ^ + 7.56 0.00 1.66 + + +========================================================================== +cts final report_power +-------------------------------------------------------------------------- +Group Internal Switching Leakage Total + Power Power Power Power +---------------------------------------------------------------- +Sequential 2.01e-02 6.36e-04 2.04e-07 2.07e-02 58.0% +Combinational 3.53e-03 1.15e-02 2.28e-07 1.50e-02 42.0% +Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +---------------------------------------------------------------- +Total 2.36e-02 1.21e-02 4.32e-07 3.57e-02 100.0% + 66.1% 33.9% 0.0% + +========================================================================== +cts final report_design_area +-------------------------------------------------------------------------- +Design area 1432728 u^2 7% utilization. + +Elapsed time: 1:26.45[h:]min:sec. CPU time: user 85.73 sys 0.66 (99%). Peak memory: 1648060KB. diff --git a/build/openroad/logs/4_2_cts_fillcell.json b/build/openroad/logs/4_2_cts_fillcell.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/4_2_cts_fillcell.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/4_2_cts_fillcell.log b/build/openroad/logs/4_2_cts_fillcell.log new file mode 100644 index 0000000..b334728 --- /dev/null +++ b/build/openroad/logs/4_2_cts_fillcell.log @@ -0,0 +1,22 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/4_1_cts.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0094] Created 100000 Insts +[INFO ODB-0094] Created 200000 Insts +[INFO ODB-0094] Created 300000 Insts +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 349721 components and 996416 component-terminals. +[INFO ODB-0132] Created 2 special nets and 699442 connections. +[INFO ODB-0133] Created 83035 nets and 296646 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/4_1_cts.def +[INFO DPL-0001] Placed 2328698 filler instances. +Elapsed time: 0:16.53[h:]min:sec. CPU time: user 15.54 sys 0.93 (99%). Peak memory: 2321396KB. diff --git a/build/openroad/logs/5_1_fastroute.json b/build/openroad/logs/5_1_fastroute.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/5_1_fastroute.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/5_1_fastroute.log b/build/openroad/logs/5_1_fastroute.log new file mode 100644 index 0000000..ae7df9c --- /dev/null +++ b/build/openroad/logs/5_1_fastroute.log @@ -0,0 +1,2498 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/4_cts.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0094] Created 100000 Insts +[INFO ODB-0094] Created 200000 Insts +[INFO ODB-0094] Created 300000 Insts +[INFO ODB-0094] Created 400000 Insts +[INFO ODB-0094] Created 500000 Insts +[INFO ODB-0094] Created 600000 Insts +[INFO ODB-0094] Created 700000 Insts +[INFO ODB-0094] Created 800000 Insts +[INFO ODB-0094] Created 900000 Insts +[INFO ODB-0094] Created 1000000 Insts +[INFO ODB-0094] Created 1100000 Insts +[INFO ODB-0094] Created 1200000 Insts +[INFO ODB-0094] Created 1300000 Insts +[INFO ODB-0094] Created 1400000 Insts +[INFO ODB-0094] Created 1500000 Insts +[INFO ODB-0094] Created 1600000 Insts +[INFO ODB-0094] Created 1700000 Insts +[INFO ODB-0094] Created 1800000 Insts +[INFO ODB-0094] Created 1900000 Insts +[INFO ODB-0094] Created 2000000 Insts +[INFO ODB-0094] Created 2100000 Insts +[INFO ODB-0094] Created 2200000 Insts +[INFO ODB-0094] Created 2300000 Insts +[INFO ODB-0094] Created 2400000 Insts +[INFO ODB-0094] Created 2500000 Insts +[INFO ODB-0094] Created 2600000 Insts +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 2678419 components and 5653812 component-terminals. +[INFO ODB-0132] Created 2 special nets and 5356838 connections. +[INFO ODB-0133] Created 83035 nets and 296646 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/4_cts.def +[INFO GRT-0020] Min routing layer: met1 +[INFO GRT-0021] Max routing layer: met5 +[INFO GRT-0022] Global adjustment: 0% +[INFO GRT-0023] Grid origin: (0, 0) +[WARNING GRT-0043] No OR_DEFAULT vias defined. +[INFO GRT-0224] Chose via L1M1_PR as default. +[INFO GRT-0224] Chose via M1M2_PR as default. +[INFO GRT-0224] Chose via M2M3_PR as default. +[INFO GRT-0224] Chose via M3M4_PR as default. +[INFO GRT-0224] Chose via M4M5_PR as default. +[INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400 +[INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400 +[INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500 +[INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150 +[INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 1.0400 +[INFO GRT-0088] Layer met5 Track-Pitch = 3.4000 line-2-Via Pitch: 3.1100 +[INFO GRT-0003] Macros: 0 +[INFO GRT-0004] Blockages: 390489 +[INFO GRT-0019] Found 1688 clock nets. +[INFO GRT-0001] Minimum degree: 2 +[INFO GRT-0002] Maximum degree: 74 +[INFO GRT-0017] Processing 5751590 blockages on layer met1. +[INFO GRT-0017] Processing 352 blockages on layer met4. +[INFO GRT-0017] Processing 306 blockages on layer met5. + +[INFO GRT-0053] Routing resources analysis: + Routing Original Derated Resource +Layer Direction Resources Resources Reduction (%) +--------------------------------------------------------------- +li1 Vertical 0 0 0.00% +met1 Horizontal 10045020 3964411 60.53% +met2 Vertical 7533765 4522140 39.98% +met3 Horizontal 5022510 3018528 39.90% +met4 Vertical 3013506 1510488 49.88% +met5 Horizontal 1004502 502336 49.99% +--------------------------------------------------------------- + +[INFO GRT-0191] Wirelength: 707544, Wirelength1: 0 +[INFO GRT-0192] Number of segments: 182774 +[INFO GRT-0193] Number of shifts: 0 +[INFO GRT-0097] First L Route. +[INFO GRT-0191] Wirelength: 707544, Wirelength1: 707544 +[INFO GRT-0192] Number of segments: 182774 +[INFO GRT-0193] Number of shifts: 0 +[INFO GRT-0135] Overflow report. +[INFO GRT-0136] Total hCap : 7485275 +[INFO GRT-0137] Total vCap : 6032628 +[INFO GRT-0138] Total usage : 707544 +[INFO GRT-0139] Max H overflow : 0 +[INFO GRT-0140] Max V overflow : 3 +[INFO GRT-0141] Max overflow : 3 +[INFO GRT-0142] Number of overflow edges : 25 +[INFO GRT-0143] H overflow : 0 +[INFO GRT-0144] V overflow : 28 +[INFO GRT-0145] Final overflow : 28 + +[INFO GRT-0098] Second L Route. +[INFO GRT-0135] Overflow report. +[INFO GRT-0136] Total hCap : 7485275 +[INFO GRT-0137] Total vCap : 6032628 +[INFO GRT-0138] Total usage : 707544 +[INFO GRT-0139] Max H overflow : 1 +[INFO GRT-0140] Max V overflow : 2 +[INFO GRT-0141] Max overflow : 2 +[INFO GRT-0142] Number of overflow edges : 23 +[INFO GRT-0143] H overflow : 1 +[INFO GRT-0144] V overflow : 23 +[INFO GRT-0145] Final overflow : 24 + +[INFO GRT-0099] First Z Route. +[INFO GRT-0135] Overflow report. +[INFO GRT-0136] Total hCap : 7485275 +[INFO GRT-0137] Total vCap : 6032628 +[INFO GRT-0138] Total usage : 707544 +[INFO GRT-0139] Max H overflow : 0 +[INFO GRT-0140] Max V overflow : 1 +[INFO GRT-0141] Max overflow : 1 +[INFO GRT-0142] Number of overflow edges : 4 +[INFO GRT-0143] H overflow : 0 +[INFO GRT-0144] V overflow : 4 +[INFO GRT-0145] Final overflow : 4 + +[INFO GRT-0100] LV routing round 0, enlarge 10. +[INFO GRT-0182] 10 threshold, 10 expand. +[INFO GRT-0126] Overflow report: +[INFO GRT-0127] Total usage : 707544 +[INFO GRT-0128] Max H overflow : 0 +[INFO GRT-0129] Max V overflow : 7 +[INFO GRT-0130] Max overflow : 7 +[INFO GRT-0131] Number overflow edges: 855 +[INFO GRT-0132] H overflow : 0 +[INFO GRT-0133] V overflow : 1675 +[INFO GRT-0134] Final overflow : 1675 + +[INFO GRT-0100] LV routing round 1, enlarge 15. +[INFO GRT-0182] 5 threshold, 15 expand. +[INFO GRT-0126] Overflow report: +[INFO GRT-0127] Total usage : 707546 +[INFO GRT-0128] Max H overflow : 0 +[INFO GRT-0129] Max V overflow : 5 +[INFO GRT-0130] Max overflow : 5 +[INFO GRT-0131] Number overflow edges: 404 +[INFO GRT-0132] H overflow : 0 +[INFO GRT-0133] V overflow : 660 +[INFO GRT-0134] Final overflow : 660 + +[INFO GRT-0100] LV routing round 2, enlarge 20. +[INFO GRT-0182] 1 threshold, 20 expand. +[INFO GRT-0126] Overflow report: +[INFO GRT-0127] Total usage : 707546 +[INFO GRT-0128] Max H overflow : 0 +[INFO GRT-0129] Max V overflow : 6 +[INFO GRT-0130] Max overflow : 6 +[INFO GRT-0131] Number overflow edges: 301 +[INFO GRT-0132] H overflow : 0 +[INFO GRT-0133] V overflow : 578 +[INFO GRT-0134] Final overflow : 578 + +[INFO GRT-0101] Running extra iterations to remove overflow. +[INFO GRT-0102] Iteration 1 +[INFO GRT-0126] Overflow report: +[INFO GRT-0127] Total usage : 707423 +[INFO GRT-0128] Max H overflow : 0 +[INFO GRT-0129] Max V overflow : 0 +[INFO GRT-0130] Max overflow : 0 +[INFO GRT-0131] Number overflow edges: 0 +[INFO GRT-0132] H overflow : 0 +[INFO GRT-0133] V overflow : 0 +[INFO GRT-0134] Final overflow : 0 + +Usage checked +[INFO GRT-0105] Maze routing finished. +Final 2D results: +[INFO GRT-0126] Overflow report: +[INFO GRT-0127] Total usage : 707423 +[INFO GRT-0128] Max H overflow : 0 +[INFO GRT-0129] Max V overflow : 0 +[INFO GRT-0130] Max overflow : 0 +[INFO GRT-0131] Number overflow edges: 0 +[INFO GRT-0132] H overflow : 0 +[INFO GRT-0133] V overflow : 0 +[INFO GRT-0134] Final overflow : 0 + +[INFO GRT-0106] Layer assignment begins. +[INFO GRT-0107] Layer assignment finished. +[INFO GRT-0108] Post-processing begins. +[INFO GRT-0109] Post-processing finished. + Starting via filling. +[INFO GRT-0197] Via related to pin nodes: 407277 +[INFO GRT-0198] Via related Steiner nodes: 10975 +[INFO GRT-0199] Via filling finished. +[INFO GRT-0111] Final number of vias: 492262 +[INFO GRT-0112] Final usage 3D: 2176018 +[WARNING GRT-0211] dbGcellGrid already exists in db. Clearing existing dbGCellGrid. + +[INFO GRT-0096] Final congestion report: +Layer Resource Demand Usage (%) Max H / Max V / Total Overflow +--------------------------------------------------------------------------------------- +li1 0 0 0.00% 0 / 0 / 0 +met1 3964411 289966 7.31% 0 / 0 / 0 +met2 4522140 297681 6.58% 0 / 0 / 0 +met3 3018528 77691 2.57% 0 / 0 / 0 +met4 1510488 33840 2.24% 0 / 0 / 0 +met5 502336 54 0.01% 0 / 0 / 0 +--------------------------------------------------------------------------------------- +Total 13517903 699232 5.17% 0 / 0 / 0 + +[INFO GRT-0018] Total wirelength: 6730853 um +[INFO GRT-0014] Routed nets: 82926 + +========================================================================== +global route report_checks -path_delay min +-------------------------------------------------------------------------- +Startpoint: externalResetVector[15] (input port clocked by clk) +Endpoint: _145472_ (removal check against rising-edge clock clk) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 v input external delay + 0.00 0.00 1.00 v externalResetVector[15] (in) + 1 0.04 externalResetVector[15] (net) + 0.01 0.00 1.00 v input6/A (sky130_fd_sc_hd__buf_16) + 0.02 0.10 1.11 v input6/X (sky130_fd_sc_hd__buf_16) + 1 0.00 net6 (net) + 0.02 0.00 1.11 v hold77/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.64 1.74 v hold77/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2942 (net) + 0.14 0.01 1.75 v hold78/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.69 2.44 v hold78/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2943 (net) + 0.14 0.01 2.45 v hold79/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.69 3.13 v hold79/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2944 (net) + 0.14 0.01 3.14 v hold80/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.69 3.83 v hold80/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2945 (net) + 0.15 0.01 3.84 v hold81/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.69 4.53 v hold81/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2946 (net) + 0.14 0.00 4.53 v hold82/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.68 5.21 v hold82/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2947 (net) + 0.14 0.01 5.22 v hold83/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.68 5.90 v hold83/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2948 (net) + 0.14 0.00 5.91 v hold84/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.69 6.60 v hold84/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2949 (net) + 0.14 0.01 6.60 v hold85/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.69 7.29 v hold85/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2950 (net) + 0.15 0.01 7.30 v hold86/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.68 7.99 v hold86/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2951 (net) + 0.14 0.01 8.00 v hold87/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.69 8.69 v hold87/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2952 (net) + 0.15 0.01 8.69 v hold88/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.69 9.38 v hold88/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2953 (net) + 0.14 0.01 9.39 v hold89/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.69 10.08 v hold89/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2954 (net) + 0.14 0.01 10.09 v hold90/A (sky130_fd_sc_hd__clkbuf_4) + 0.09 0.23 10.31 v hold90/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.04 net2941 (net) + 0.10 0.02 10.33 v _122280_/B (sky130_fd_sc_hd__nand2_1) + 0.07 0.10 10.43 ^ _122280_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 _000044_ (net) + 0.07 0.00 10.43 ^ _145472_/SET_B (sky130_fd_sc_hd__dfbbp_1) + 10.43 data arrival time + + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.19 clk (net) + 0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.31 0.20 0.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.33 net2865 (net) + 0.51 0.21 0.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 0.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.01 0.80 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.23 1.03 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.20 0.00 1.03 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 1.22 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.15 0.00 1.22 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.01 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 1.64 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.15 0.00 1.64 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.87 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.01 1.87 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 2.05 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.14 0.00 2.06 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 2.20 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 2.20 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 2.61 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.02 2.62 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.27 2.89 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_0_clk (net) + 0.24 0.01 2.90 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 3.09 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_1_clk (net) + 0.14 0.01 3.09 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 3.30 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_2_clk (net) + 0.20 0.01 3.31 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.48 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_3_clk (net) + 0.13 0.00 3.48 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.21 3.70 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_4_clk (net) + 0.21 0.01 3.70 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.88 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_5_clk (net) + 0.13 0.00 3.88 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.58 0.45 4.34 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_1_6_clk (net) + 0.58 0.03 4.36 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 4.63 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_3_0_clk (net) + 0.22 0.00 4.63 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.16 0.19 4.83 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_1_clk (net) + 0.16 0.01 4.83 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.20 5.03 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_2_clk (net) + 0.18 0.01 5.03 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.43 0.37 5.41 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_3_3_clk (net) + 0.43 0.01 5.42 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.25 5.66 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_6_0_clk (net) + 0.21 0.01 5.67 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.18 5.86 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_1_clk (net) + 0.15 0.01 5.86 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.14 6.00 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_2_clk (net) + 0.10 0.00 6.01 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.46 0.38 6.39 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_6_3_clk (net) + 0.46 0.01 6.40 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.23 6.62 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_13_0_clk (net) + 0.18 0.01 6.63 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.26 6.89 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_5_13_1_clk (net) + 0.26 0.01 6.89 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 7.07 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_26_0_clk (net) + 0.13 0.00 7.07 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.41 0.35 7.42 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_26_1_clk (net) + 0.41 0.01 7.44 ^ clkbuf_7_53_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.07 0.84 8.27 ^ clkbuf_7_53_0_clk/X (sky130_fd_sc_hd__buf_1) + 8 0.09 clknet_7_53_0_clk (net) + 1.07 0.01 8.29 ^ clkbuf_leaf_227_clk/A (sky130_fd_sc_hd__buf_1) + 1.26 0.97 9.26 ^ clkbuf_leaf_227_clk/X (sky130_fd_sc_hd__buf_1) + 30 0.11 clknet_leaf_227_clk (net) + 1.26 0.03 9.29 ^ _145472_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 9.29 clock reconvergence pessimism + 0.32 9.61 library removal time + 9.61 data required time +----------------------------------------------------------------------------- + 9.61 data required time + -10.43 data arrival time +----------------------------------------------------------------------------- + 0.82 slack (MET) + + +Startpoint: _144887_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _145489_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.19 clk (net) + 0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.31 0.20 0.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.33 net2865 (net) + 0.51 0.21 0.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 0.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.01 0.80 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.25 1.05 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_0_clk (net) + 0.23 0.01 1.05 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 1.23 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_1_clk (net) + 0.13 0.00 1.23 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.46 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_2_clk (net) + 0.22 0.01 1.46 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.64 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_3_clk (net) + 0.13 0.01 1.64 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 1.85 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_4_clk (net) + 0.20 0.01 1.86 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.16 0.19 2.05 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_5_clk (net) + 0.16 0.01 2.06 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.15 2.21 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_6_clk (net) + 0.10 0.00 2.21 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.65 0.50 2.70 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.06 clknet_1_1_7_clk (net) + 0.65 0.03 2.73 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 3.00 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_0_clk (net) + 0.22 0.01 3.01 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 3.20 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_1_clk (net) + 0.15 0.00 3.20 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.24 3.44 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_2_clk (net) + 0.24 0.01 3.45 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 3.63 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_3_clk (net) + 0.13 0.00 3.63 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 3.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_4_clk (net) + 0.20 0.01 3.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 4.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_5_clk (net) + 0.14 0.00 4.03 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.56 0.45 4.48 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_2_6_clk (net) + 0.56 0.02 4.50 ^ clkbuf_3_4_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.27 4.77 ^ clkbuf_3_4_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_4_0_clk (net) + 0.23 0.01 4.78 ^ clkbuf_3_4_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 4.96 ^ clkbuf_3_4_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_4_1_clk (net) + 0.14 0.01 4.96 ^ clkbuf_3_4_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.19 5.16 ^ clkbuf_3_4_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_4_2_clk (net) + 0.18 0.01 5.16 ^ clkbuf_3_4_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.38 0.34 5.50 ^ clkbuf_3_4_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.03 clknet_3_4_3_clk (net) + 0.38 0.01 5.51 ^ clkbuf_4_8_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.25 5.76 ^ clkbuf_4_8_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_8_0_clk (net) + 0.21 0.00 5.77 ^ clkbuf_4_8_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 5.94 ^ clkbuf_4_8_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_8_1_clk (net) + 0.13 0.00 5.94 ^ clkbuf_4_8_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 6.07 ^ clkbuf_4_8_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_8_2_clk (net) + 0.08 0.00 6.08 ^ clkbuf_4_8_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.40 6.48 ^ clkbuf_4_8_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_8_3_clk (net) + 0.51 0.02 6.50 ^ clkbuf_5_16_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.16 0.22 6.72 ^ clkbuf_5_16_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_16_0_clk (net) + 0.16 0.01 6.72 ^ clkbuf_5_16_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.29 0.27 7.00 ^ clkbuf_5_16_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_5_16_1_clk (net) + 0.29 0.01 7.00 ^ clkbuf_6_33_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.18 7.18 ^ clkbuf_6_33_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_33_0_clk (net) + 0.12 0.00 7.18 ^ clkbuf_6_33_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.39 0.33 7.52 ^ clkbuf_6_33_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.03 clknet_6_33_1_clk (net) + 0.39 0.01 7.53 ^ clkbuf_7_66_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.54 0.47 7.99 ^ clkbuf_7_66_0_clk/X (sky130_fd_sc_hd__buf_1) + 4 0.05 clknet_7_66_0_clk (net) + 0.54 0.01 8.01 ^ clkbuf_leaf_928_clk/A (sky130_fd_sc_hd__buf_1) + 0.90 0.71 8.72 ^ clkbuf_leaf_928_clk/X (sky130_fd_sc_hd__buf_1) + 17 0.08 clknet_leaf_928_clk (net) + 0.90 0.03 8.75 ^ _144887_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.08 0.54 9.29 v _144887_/Q (sky130_fd_sc_hd__dfxtp_4) + 2 0.04 dataCache_1_.stageB_mmuRsp_isIoAccess (net) + 0.08 0.01 9.30 v _122189_/A (sky130_fd_sc_hd__clkinv_16) + 0.09 0.07 9.36 ^ _122189_/Y (sky130_fd_sc_hd__clkinv_16) + 1 0.31 _023083_ (net) + 0.56 0.27 9.64 ^ _145489_/D (sky130_fd_sc_hd__dfxtp_4) + 9.64 data arrival time + + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.19 clk (net) + 0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.31 0.20 0.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.33 net2865 (net) + 0.51 0.21 0.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 0.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.01 0.80 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.23 1.03 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.20 0.00 1.03 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 1.22 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.15 0.00 1.22 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.01 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 1.64 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.15 0.00 1.64 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.87 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.01 1.87 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 2.05 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.14 0.00 2.06 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 2.20 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 2.20 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 2.61 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.02 2.62 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.27 2.89 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_0_clk (net) + 0.24 0.01 2.90 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 3.09 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_1_clk (net) + 0.14 0.01 3.09 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 3.30 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_2_clk (net) + 0.20 0.01 3.31 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.48 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_3_clk (net) + 0.13 0.00 3.48 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.21 3.70 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_4_clk (net) + 0.21 0.01 3.70 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.88 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_5_clk (net) + 0.13 0.00 3.88 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.58 0.45 4.34 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_1_6_clk (net) + 0.58 0.03 4.36 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 4.63 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_3_0_clk (net) + 0.22 0.00 4.63 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.16 0.19 4.83 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_1_clk (net) + 0.16 0.01 4.83 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.20 5.03 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_2_clk (net) + 0.18 0.01 5.03 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.43 0.37 5.41 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_3_3_clk (net) + 0.43 0.01 5.42 ^ clkbuf_4_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.25 5.66 ^ clkbuf_4_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_7_0_clk (net) + 0.21 0.01 5.67 ^ clkbuf_4_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 5.84 ^ clkbuf_4_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_7_1_clk (net) + 0.12 0.00 5.84 ^ clkbuf_4_7_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 5.98 ^ clkbuf_4_7_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_7_2_clk (net) + 0.09 0.00 5.98 ^ clkbuf_4_7_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.49 0.39 6.37 ^ clkbuf_4_7_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_7_3_clk (net) + 0.49 0.02 6.39 ^ clkbuf_5_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.23 6.62 ^ clkbuf_5_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_14_0_clk (net) + 0.18 0.00 6.62 ^ clkbuf_5_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.40 0.35 6.97 ^ clkbuf_5_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.03 clknet_5_14_1_clk (net) + 0.40 0.01 6.98 ^ clkbuf_6_29_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 7.18 ^ clkbuf_6_29_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_29_0_clk (net) + 0.14 0.01 7.18 ^ clkbuf_6_29_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.31 0.28 7.47 ^ clkbuf_6_29_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.03 clknet_6_29_1_clk (net) + 0.31 0.01 7.47 ^ clkbuf_7_59_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.69 0.57 8.04 ^ clkbuf_7_59_0_clk/X (sky130_fd_sc_hd__buf_1) + 5 0.06 clknet_7_59_0_clk (net) + 0.69 0.01 8.06 ^ clkbuf_opt_66_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.22 8.28 ^ clkbuf_opt_66_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_66_0_clk (net) + 0.14 0.00 8.28 ^ clkbuf_opt_66_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 8.50 ^ clkbuf_opt_66_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_opt_66_1_clk (net) + 0.22 0.01 8.51 ^ clkbuf_opt_66_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 8.69 ^ clkbuf_opt_66_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_66_2_clk (net) + 0.14 0.00 8.69 ^ clkbuf_opt_66_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 8.92 ^ clkbuf_opt_66_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_opt_66_3_clk (net) + 0.23 0.01 8.93 ^ clkbuf_opt_66_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 9.12 ^ clkbuf_opt_66_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_66_4_clk (net) + 0.15 0.01 9.12 ^ clkbuf_opt_66_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 9.35 ^ clkbuf_opt_66_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_opt_66_5_clk (net) + 0.22 0.01 9.35 ^ clkbuf_opt_66_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.36 0.33 9.68 ^ clkbuf_opt_66_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.03 clknet_opt_66_6_clk (net) + 0.36 0.01 9.69 ^ _145489_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.00 9.69 clock reconvergence pessimism + -0.08 9.61 library hold time + 9.61 data required time +----------------------------------------------------------------------------- + 9.61 data required time + -9.64 data arrival time +----------------------------------------------------------------------------- + 0.03 slack (MET) + + + +========================================================================== +global route report_checks -path_delay max +-------------------------------------------------------------------------- +Startpoint: externalResetVector[24] (input port clocked by clk) +Endpoint: _145481_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[24] (in) + 1 0.05 externalResetVector[24] (net) + 0.01 0.00 1.00 ^ input16/A (sky130_fd_sc_hd__buf_16) + 0.03 0.07 1.08 ^ input16/X (sky130_fd_sc_hd__buf_16) + 1 0.00 net16 (net) + 0.03 0.00 1.08 ^ hold329/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.24 0.67 1.75 ^ hold329/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3194 (net) + 0.24 0.01 1.76 ^ hold330/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.24 0.73 2.49 ^ hold330/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3195 (net) + 0.24 0.01 2.50 ^ hold331/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 0.73 3.23 ^ hold331/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3196 (net) + 0.25 0.01 3.24 ^ hold332/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.24 0.73 3.97 ^ hold332/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3197 (net) + 0.25 0.01 3.98 ^ hold333/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 0.73 4.70 ^ hold333/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3198 (net) + 0.25 0.01 4.72 ^ hold334/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.26 0.74 5.45 ^ hold334/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.03 net3199 (net) + 0.26 0.02 5.47 ^ hold335/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.26 0.74 6.21 ^ hold335/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.03 net3200 (net) + 0.26 0.01 6.22 ^ hold336/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 0.73 6.95 ^ hold336/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3201 (net) + 0.25 0.01 6.96 ^ hold337/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.24 0.72 7.68 ^ hold337/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3202 (net) + 0.24 0.01 7.70 ^ hold338/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 0.73 8.42 ^ hold338/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3203 (net) + 0.25 0.01 8.43 ^ hold339/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.24 0.73 9.16 ^ hold339/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3204 (net) + 0.24 0.01 9.17 ^ hold340/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.27 0.74 9.92 ^ hold340/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.03 net3205 (net) + 0.27 0.01 9.93 ^ hold341/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.23 0.72 10.65 ^ hold341/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3206 (net) + 0.23 0.01 10.66 ^ hold342/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.27 10.93 ^ hold342/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.05 net3193 (net) + 0.15 0.01 10.94 ^ _122255_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.15 0.13 11.07 ^ _122255_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000061_ (net) + 0.15 0.00 11.07 ^ _145481_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 11.07 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock source latency + 0.00 0.00 50.00 ^ clk (in) + 1 0.19 clk (net) + 0.16 0.08 50.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.31 0.20 50.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.33 net2865 (net) + 0.51 0.21 50.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 50.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.01 50.80 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.25 51.05 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_0_clk (net) + 0.23 0.01 51.05 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 51.23 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_1_clk (net) + 0.13 0.00 51.23 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 51.46 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_2_clk (net) + 0.22 0.01 51.46 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.64 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_3_clk (net) + 0.13 0.01 51.64 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 51.85 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_4_clk (net) + 0.20 0.01 51.86 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.16 0.19 52.05 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_5_clk (net) + 0.16 0.01 52.06 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.15 52.21 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_6_clk (net) + 0.10 0.00 52.21 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.65 0.50 52.70 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.06 clknet_1_1_7_clk (net) + 0.65 0.03 52.73 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 53.00 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_0_clk (net) + 0.22 0.01 53.01 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 53.20 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_1_clk (net) + 0.15 0.00 53.20 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.24 53.44 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_2_clk (net) + 0.24 0.01 53.45 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 53.63 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_3_clk (net) + 0.13 0.00 53.63 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 53.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_4_clk (net) + 0.20 0.01 53.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 54.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_5_clk (net) + 0.14 0.00 54.03 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.56 0.45 54.48 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_2_6_clk (net) + 0.56 0.02 54.50 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.27 54.77 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_5_0_clk (net) + 0.24 0.01 54.78 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 54.96 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_1_clk (net) + 0.14 0.00 54.97 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.19 55.16 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_2_clk (net) + 0.18 0.01 55.17 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.48 0.41 55.57 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_5_3_clk (net) + 0.48 0.01 55.59 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 55.84 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_11_0_clk (net) + 0.22 0.01 55.85 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 56.02 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_11_1_clk (net) + 0.12 0.00 56.02 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.14 56.16 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_11_2_clk (net) + 0.10 0.00 56.17 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.48 0.39 56.56 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_11_3_clk (net) + 0.48 0.01 56.57 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.23 56.80 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_23_0_clk (net) + 0.18 0.01 56.81 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.37 57.18 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_23_1_clk (net) + 0.42 0.01 57.19 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.20 57.39 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_47_0_clk (net) + 0.14 0.00 57.39 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.43 0.36 57.75 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_47_1_clk (net) + 0.43 0.02 57.77 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.95 0.74 58.51 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1) + 8 0.08 clknet_7_94_0_clk (net) + 0.95 0.03 58.54 ^ clkbuf_leaf_818_clk/A (sky130_fd_sc_hd__buf_1) + 0.68 0.58 59.12 ^ clkbuf_leaf_818_clk/X (sky130_fd_sc_hd__buf_1) + 15 0.06 clknet_leaf_818_clk (net) + 0.68 0.02 59.14 ^ _145481_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 59.14 clock reconvergence pessimism + 0.03 59.17 library recovery time + 59.17 data required time +----------------------------------------------------------------------------- + 59.17 data required time + -11.07 data arrival time +----------------------------------------------------------------------------- + 48.10 slack (MET) + + +Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: iBusWB_STB (output port clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.19 clk (net) + 0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.31 0.20 0.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.33 net2865 (net) + 0.51 0.21 0.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 0.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.01 0.80 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.23 1.03 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.20 0.00 1.03 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 1.22 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.15 0.00 1.22 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.01 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 1.64 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.15 0.00 1.64 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.87 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.01 1.87 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 2.05 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.14 0.00 2.06 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 2.20 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 2.20 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 2.61 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.02 2.62 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 2.88 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_0_clk (net) + 0.22 0.01 2.89 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.06 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_1_clk (net) + 0.13 0.00 3.06 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.24 3.30 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_2_clk (net) + 0.24 0.01 3.31 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 3.50 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_3_clk (net) + 0.15 0.01 3.51 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.22 3.73 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_4_clk (net) + 0.21 0.00 3.73 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.11 0.16 3.89 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_5_clk (net) + 0.11 0.00 3.90 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.59 0.46 4.36 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_0_6_clk (net) + 0.59 0.02 4.38 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.27 4.65 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_0_clk (net) + 0.23 0.01 4.66 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 4.83 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_1_clk (net) + 0.12 0.00 4.83 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.19 0.20 5.03 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_2_clk (net) + 0.19 0.01 5.04 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.52 0.43 5.46 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_1_3_clk (net) + 0.52 0.02 5.49 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.25 5.74 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_3_0_clk (net) + 0.21 0.01 5.75 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.18 5.93 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_1_clk (net) + 0.15 0.00 5.94 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 6.08 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_2_clk (net) + 0.09 0.00 6.08 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.47 0.38 6.46 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_3_3_clk (net) + 0.47 0.01 6.47 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.22 6.69 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_7_0_clk (net) + 0.17 0.01 6.70 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 7.06 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_7_1_clk (net) + 0.42 0.01 7.07 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 7.26 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_14_0_clk (net) + 0.13 0.00 7.27 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.41 0.35 7.62 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_14_1_clk (net) + 0.41 0.01 7.63 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.27 0.97 8.61 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1) + 12 0.11 clknet_7_28_0_clk (net) + 1.27 0.02 8.62 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1) + 1.22 0.95 9.57 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1) + 28 0.11 clknet_leaf_174_clk (net) + 1.22 0.04 9.61 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.35 0.89 10.50 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4) + 3 0.25 net78 (net) + 0.38 0.07 10.57 v _122162_/A (sky130_fd_sc_hd__inv_1) + 0.14 0.20 10.77 ^ _122162_/Y (sky130_fd_sc_hd__inv_1) + 2 0.01 _061099_ (net) + 0.14 0.00 10.78 ^ _145579_/B (sky130_fd_sc_hd__ha_1) + 0.12 0.22 11.00 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1) + 1 0.01 _061100_ (net) + 0.12 0.00 11.00 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4) + 1.06 0.70 11.70 v _061258_/Y (sky130_fd_sc_hd__nand3b_4) + 3 0.34 net99 (net) + 1.08 0.10 11.81 v _122417_/A (sky130_fd_sc_hd__buf_12) + 0.10 0.49 12.30 v _122417_/X (sky130_fd_sc_hd__buf_12) + 1 0.21 net100 (net) + 0.35 0.16 12.46 v output100/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.29 12.75 v output100/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.03 iBusWB_STB (net) + 0.13 0.01 12.77 v iBusWB_STB (out) + 12.77 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (propagated) + 0.00 50.00 clock reconvergence pessimism + -1.00 49.00 output external delay + 49.00 data required time +----------------------------------------------------------------------------- + 49.00 data required time + -12.77 data arrival time +----------------------------------------------------------------------------- + 36.23 slack (MET) + + + +========================================================================== +global route report_checks -unconstrained +-------------------------------------------------------------------------- +Startpoint: externalResetVector[24] (input port clocked by clk) +Endpoint: _145481_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[24] (in) + 1 0.05 externalResetVector[24] (net) + 0.01 0.00 1.00 ^ input16/A (sky130_fd_sc_hd__buf_16) + 0.03 0.07 1.08 ^ input16/X (sky130_fd_sc_hd__buf_16) + 1 0.00 net16 (net) + 0.03 0.00 1.08 ^ hold329/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.24 0.67 1.75 ^ hold329/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3194 (net) + 0.24 0.01 1.76 ^ hold330/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.24 0.73 2.49 ^ hold330/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3195 (net) + 0.24 0.01 2.50 ^ hold331/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 0.73 3.23 ^ hold331/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3196 (net) + 0.25 0.01 3.24 ^ hold332/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.24 0.73 3.97 ^ hold332/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3197 (net) + 0.25 0.01 3.98 ^ hold333/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 0.73 4.70 ^ hold333/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3198 (net) + 0.25 0.01 4.72 ^ hold334/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.26 0.74 5.45 ^ hold334/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.03 net3199 (net) + 0.26 0.02 5.47 ^ hold335/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.26 0.74 6.21 ^ hold335/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.03 net3200 (net) + 0.26 0.01 6.22 ^ hold336/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 0.73 6.95 ^ hold336/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3201 (net) + 0.25 0.01 6.96 ^ hold337/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.24 0.72 7.68 ^ hold337/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3202 (net) + 0.24 0.01 7.70 ^ hold338/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 0.73 8.42 ^ hold338/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3203 (net) + 0.25 0.01 8.43 ^ hold339/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.24 0.73 9.16 ^ hold339/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3204 (net) + 0.24 0.01 9.17 ^ hold340/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.27 0.74 9.92 ^ hold340/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.03 net3205 (net) + 0.27 0.01 9.93 ^ hold341/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.23 0.72 10.65 ^ hold341/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3206 (net) + 0.23 0.01 10.66 ^ hold342/A (sky130_fd_sc_hd__clkbuf_4) + 0.15 0.27 10.93 ^ hold342/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.05 net3193 (net) + 0.15 0.01 10.94 ^ _122255_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.15 0.13 11.07 ^ _122255_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000061_ (net) + 0.15 0.00 11.07 ^ _145481_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 11.07 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock source latency + 0.00 0.00 50.00 ^ clk (in) + 1 0.19 clk (net) + 0.16 0.08 50.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.31 0.20 50.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.33 net2865 (net) + 0.51 0.21 50.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 50.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.01 50.80 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.25 51.05 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_0_clk (net) + 0.23 0.01 51.05 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 51.23 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_1_clk (net) + 0.13 0.00 51.23 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 51.46 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_2_clk (net) + 0.22 0.01 51.46 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.64 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_3_clk (net) + 0.13 0.01 51.64 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 51.85 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_4_clk (net) + 0.20 0.01 51.86 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.16 0.19 52.05 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_5_clk (net) + 0.16 0.01 52.06 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.15 52.21 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_6_clk (net) + 0.10 0.00 52.21 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.65 0.50 52.70 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.06 clknet_1_1_7_clk (net) + 0.65 0.03 52.73 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 53.00 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_0_clk (net) + 0.22 0.01 53.01 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 53.20 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_1_clk (net) + 0.15 0.00 53.20 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.24 53.44 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_2_clk (net) + 0.24 0.01 53.45 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 53.63 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_3_clk (net) + 0.13 0.00 53.63 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 53.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_4_clk (net) + 0.20 0.01 53.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 54.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_5_clk (net) + 0.14 0.00 54.03 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.56 0.45 54.48 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_2_6_clk (net) + 0.56 0.02 54.50 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.27 54.77 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_5_0_clk (net) + 0.24 0.01 54.78 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 54.96 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_1_clk (net) + 0.14 0.00 54.97 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.19 55.16 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_2_clk (net) + 0.18 0.01 55.17 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.48 0.41 55.57 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_5_3_clk (net) + 0.48 0.01 55.59 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 55.84 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_11_0_clk (net) + 0.22 0.01 55.85 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 56.02 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_11_1_clk (net) + 0.12 0.00 56.02 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.14 56.16 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_11_2_clk (net) + 0.10 0.00 56.17 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.48 0.39 56.56 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_11_3_clk (net) + 0.48 0.01 56.57 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.23 56.80 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_23_0_clk (net) + 0.18 0.01 56.81 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.37 57.18 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_23_1_clk (net) + 0.42 0.01 57.19 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.20 57.39 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_47_0_clk (net) + 0.14 0.00 57.39 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.43 0.36 57.75 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_47_1_clk (net) + 0.43 0.02 57.77 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.95 0.74 58.51 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1) + 8 0.08 clknet_7_94_0_clk (net) + 0.95 0.03 58.54 ^ clkbuf_leaf_818_clk/A (sky130_fd_sc_hd__buf_1) + 0.68 0.58 59.12 ^ clkbuf_leaf_818_clk/X (sky130_fd_sc_hd__buf_1) + 15 0.06 clknet_leaf_818_clk (net) + 0.68 0.02 59.14 ^ _145481_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 59.14 clock reconvergence pessimism + 0.03 59.17 library recovery time + 59.17 data required time +----------------------------------------------------------------------------- + 59.17 data required time + -11.07 data arrival time +----------------------------------------------------------------------------- + 48.10 slack (MET) + + +Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: iBusWB_STB (output port clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.19 clk (net) + 0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.31 0.20 0.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.33 net2865 (net) + 0.51 0.21 0.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 0.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.27 0.01 0.80 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.23 1.03 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.20 0.00 1.03 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 1.22 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.15 0.00 1.22 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.22 0.01 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 1.64 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.15 0.00 1.64 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.22 1.87 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.22 0.01 1.87 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 2.05 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.14 0.00 2.06 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 2.20 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.09 0.00 2.20 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 2.61 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_1_0_7_clk (net) + 0.51 0.02 2.62 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 2.88 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_0_clk (net) + 0.22 0.01 2.89 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 3.06 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_1_clk (net) + 0.13 0.00 3.06 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.24 3.30 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_2_clk (net) + 0.24 0.01 3.31 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 3.50 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_3_clk (net) + 0.15 0.01 3.51 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.22 3.73 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_4_clk (net) + 0.21 0.00 3.73 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.11 0.16 3.89 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_5_clk (net) + 0.11 0.00 3.90 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.59 0.46 4.36 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_0_6_clk (net) + 0.59 0.02 4.38 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.27 4.65 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_0_clk (net) + 0.23 0.01 4.66 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 4.83 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_1_clk (net) + 0.12 0.00 4.83 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.19 0.20 5.03 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_2_clk (net) + 0.19 0.01 5.04 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.52 0.43 5.46 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_1_3_clk (net) + 0.52 0.02 5.49 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.25 5.74 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_3_0_clk (net) + 0.21 0.01 5.75 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.18 5.93 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_1_clk (net) + 0.15 0.00 5.94 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.14 6.08 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_2_clk (net) + 0.09 0.00 6.08 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.47 0.38 6.46 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_3_3_clk (net) + 0.47 0.01 6.47 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.22 6.69 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_7_0_clk (net) + 0.17 0.01 6.70 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 7.06 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_7_1_clk (net) + 0.42 0.01 7.07 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 7.26 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_14_0_clk (net) + 0.13 0.00 7.27 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.41 0.35 7.62 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_14_1_clk (net) + 0.41 0.01 7.63 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.27 0.97 8.61 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1) + 12 0.11 clknet_7_28_0_clk (net) + 1.27 0.02 8.62 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1) + 1.22 0.95 9.57 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1) + 28 0.11 clknet_leaf_174_clk (net) + 1.22 0.04 9.61 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.35 0.89 10.50 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4) + 3 0.25 net78 (net) + 0.38 0.07 10.57 v _122162_/A (sky130_fd_sc_hd__inv_1) + 0.14 0.20 10.77 ^ _122162_/Y (sky130_fd_sc_hd__inv_1) + 2 0.01 _061099_ (net) + 0.14 0.00 10.78 ^ _145579_/B (sky130_fd_sc_hd__ha_1) + 0.12 0.22 11.00 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1) + 1 0.01 _061100_ (net) + 0.12 0.00 11.00 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4) + 1.06 0.70 11.70 v _061258_/Y (sky130_fd_sc_hd__nand3b_4) + 3 0.34 net99 (net) + 1.08 0.10 11.81 v _122417_/A (sky130_fd_sc_hd__buf_12) + 0.10 0.49 12.30 v _122417_/X (sky130_fd_sc_hd__buf_12) + 1 0.21 net100 (net) + 0.35 0.16 12.46 v output100/A (sky130_fd_sc_hd__clkbuf_2) + 0.13 0.29 12.75 v output100/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.03 iBusWB_STB (net) + 0.13 0.01 12.77 v iBusWB_STB (out) + 12.77 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (propagated) + 0.00 50.00 clock reconvergence pessimism + -1.00 49.00 output external delay + 49.00 data required time +----------------------------------------------------------------------------- + 49.00 data required time + -12.77 data arrival time +----------------------------------------------------------------------------- + 36.23 slack (MET) + + + +========================================================================== +global route report_check_types -max_slew -max_cap -max_fanout -violators +-------------------------------------------------------------------------- +max slew + +Pin Limit Slew Slack +------------------------------------------------------------ +clkbuf_leaf_90_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_opt_16_0_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_opt_18_0_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_opt_17_0_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_leaf_89_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_leaf_370_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_leaf_110_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_leaf_107_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_leaf_91_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_leaf_108_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_leaf_109_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_leaf_368_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_leaf_112_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_leaf_106_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_leaf_369_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_leaf_111_clk/A 1.50 1.76 -0.26 (VIOLATED) +clkbuf_7_12_0_clk/X 1.51 1.76 -0.26 (VIOLATED) +_083721_/A 1.50 1.66 -0.16 (VIOLATED) +_081683_/A 1.50 1.65 -0.15 (VIOLATED) +_082228_/A 1.50 1.64 -0.14 (VIOLATED) +_099700_/A 1.50 1.64 -0.14 (VIOLATED) +_084887_/C1 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_138_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_opt_34_0_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_141_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_144_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_142_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_146_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_143_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_147_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_133_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_134_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_132_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_148_clk/A 1.50 1.64 -0.14 (VIOLATED) +clkbuf_leaf_137_clk/A 1.50 1.64 -0.14 (VIOLATED) +_082253_/A 1.50 1.63 -0.13 (VIOLATED) +_084888_/A1 1.50 1.63 -0.13 (VIOLATED) +_090030_/A 1.50 1.63 -0.13 (VIOLATED) +clkbuf_7_24_0_clk/X 1.51 1.63 -0.13 (VIOLATED) +_099701_/A1 1.50 1.62 -0.12 (VIOLATED) +_082273_/A 1.50 1.59 -0.09 (VIOLATED) +_074546_/B 1.50 1.59 -0.09 (VIOLATED) +_083717_/A 1.50 1.59 -0.09 (VIOLATED) +_082034_/A 1.50 1.59 -0.09 (VIOLATED) +_074386_/B 1.50 1.59 -0.09 (VIOLATED) +_101103_/A 1.50 1.59 -0.09 (VIOLATED) +_074630_/B 1.50 1.59 -0.09 (VIOLATED) +_072937_/B 1.50 1.59 -0.09 (VIOLATED) +_084691_/A 1.50 1.59 -0.09 (VIOLATED) +_074180_/B 1.50 1.59 -0.09 (VIOLATED) +_072687_/B 1.50 1.59 -0.09 (VIOLATED) +_073381_/B 1.50 1.59 -0.09 (VIOLATED) +_082148_/A 1.50 1.59 -0.09 (VIOLATED) +_116208_/B 1.50 1.59 -0.09 (VIOLATED) +_101104_/A1 1.50 1.59 -0.09 (VIOLATED) +_074288_/B 1.50 1.59 -0.09 (VIOLATED) +_073975_/B 1.50 1.58 -0.08 (VIOLATED) +_073692_/B 1.50 1.58 -0.08 (VIOLATED) +_074031_/B 1.50 1.58 -0.08 (VIOLATED) +_072823_/B 1.50 1.58 -0.08 (VIOLATED) +_073817_/B 1.50 1.58 -0.08 (VIOLATED) +_115147_/B 1.50 1.58 -0.08 (VIOLATED) +_116047_/B 1.50 1.58 -0.08 (VIOLATED) +_116075_/B 1.50 1.57 -0.07 (VIOLATED) +_117857_/B 1.50 1.57 -0.07 (VIOLATED) +_081968_/A 1.50 1.57 -0.07 (VIOLATED) +_073896_/B 1.50 1.57 -0.07 (VIOLATED) +_111955_/B 1.50 1.57 -0.07 (VIOLATED) +_115511_/B 1.50 1.57 -0.07 (VIOLATED) +_115298_/B 1.50 1.57 -0.07 (VIOLATED) +_117938_/B 1.50 1.57 -0.07 (VIOLATED) +_115613_/B 1.50 1.57 -0.07 (VIOLATED) +_099021_/B1 1.50 1.57 -0.07 (VIOLATED) +_116312_/B 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_367_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_120_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_363_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_119_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_125_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_105_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_opt_20_0_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_118_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_116_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_opt_19_0_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_115_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_117_clk/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_114_clk/A 1.50 1.57 -0.07 (VIOLATED) +_098691_/Y 1.50 1.57 -0.07 (VIOLATED) +clkbuf_leaf_113_clk/A 1.50 1.57 -0.07 (VIOLATED) +_117749_/B 1.50 1.57 -0.07 (VIOLATED) +_115709_/B 1.50 1.57 -0.07 (VIOLATED) +_083935_/A 1.50 1.57 -0.07 (VIOLATED) +_115379_/B 1.50 1.57 -0.07 (VIOLATED) +_073532_/B 1.50 1.57 -0.07 (VIOLATED) +_089822_/A 1.50 1.57 -0.07 (VIOLATED) +_074861_/B 1.50 1.57 -0.07 (VIOLATED) +_066290_/A 1.50 1.57 -0.07 (VIOLATED) +clkbuf_7_13_0_clk/X 1.51 1.57 -0.06 (VIOLATED) +_076165_/B 1.50 1.56 -0.06 (VIOLATED) +_069108_/A 1.50 1.56 -0.06 (VIOLATED) +_078441_/B 1.50 1.56 -0.06 (VIOLATED) +_083288_/A 1.50 1.55 -0.05 (VIOLATED) +_081774_/A 1.50 1.55 -0.05 (VIOLATED) +_074700_/B 1.50 1.55 -0.05 (VIOLATED) +_080731_/A 1.50 1.55 -0.05 (VIOLATED) +_086087_/A 1.50 1.55 -0.05 (VIOLATED) +_118154_/B 1.50 1.55 -0.05 (VIOLATED) +_112082_/B 1.50 1.55 -0.05 (VIOLATED) +_061742_/B 1.50 1.54 -0.04 (VIOLATED) +_111488_/B 1.50 1.54 -0.04 (VIOLATED) +_111845_/B 1.50 1.54 -0.04 (VIOLATED) +_111273_/B 1.50 1.54 -0.04 (VIOLATED) +_111121_/B 1.50 1.54 -0.04 (VIOLATED) +_111544_/B 1.50 1.54 -0.04 (VIOLATED) +_111712_/B 1.50 1.54 -0.04 (VIOLATED) +_118386_/B 1.50 1.54 -0.04 (VIOLATED) +_111657_/B 1.50 1.54 -0.04 (VIOLATED) +_065499_/A 1.50 1.54 -0.04 (VIOLATED) +_078264_/B 1.50 1.54 -0.04 (VIOLATED) +_112135_/B 1.50 1.54 -0.04 (VIOLATED) +_061898_/A 1.50 1.53 -0.03 (VIOLATED) +_111012_/B 1.50 1.53 -0.03 (VIOLATED) +_110386_/B 1.50 1.53 -0.03 (VIOLATED) +_109870_/B 1.50 1.53 -0.03 (VIOLATED) +_112163_/B 1.50 1.53 -0.03 (VIOLATED) +_112272_/B 1.50 1.53 -0.03 (VIOLATED) +_110985_/B 1.50 1.53 -0.03 (VIOLATED) +_110289_/B 1.50 1.53 -0.03 (VIOLATED) +_080729_/Y 1.50 1.53 -0.03 (VIOLATED) +_111093_/B 1.50 1.53 -0.03 (VIOLATED) +_069829_/B 1.50 1.53 -0.03 (VIOLATED) +_116102_/B 1.50 1.53 -0.03 (VIOLATED) +_078362_/B 1.50 1.53 -0.03 (VIOLATED) +_077254_/B 1.50 1.53 -0.03 (VIOLATED) +_078319_/B 1.50 1.53 -0.03 (VIOLATED) +_078292_/B 1.50 1.53 -0.03 (VIOLATED) +_073774_/A 1.50 1.52 -0.02 (VIOLATED) +_110022_/B 1.50 1.52 -0.02 (VIOLATED) +_061928_/A 1.50 1.52 -0.02 (VIOLATED) +_076192_/B 1.50 1.52 -0.02 (VIOLATED) +_073151_/B 1.50 1.52 -0.02 (VIOLATED) +clkbuf_leaf_65_clk/A 1.50 1.52 -0.02 (VIOLATED) +clkbuf_opt_11_0_clk/A 1.50 1.52 -0.02 (VIOLATED) +clkbuf_leaf_99_clk/A 1.50 1.52 -0.02 (VIOLATED) +clkbuf_leaf_62_clk/A 1.50 1.52 -0.02 (VIOLATED) +clkbuf_leaf_94_clk/A 1.50 1.52 -0.02 (VIOLATED) +clkbuf_leaf_95_clk/A 1.50 1.52 -0.02 (VIOLATED) +clkbuf_leaf_98_clk/A 1.50 1.52 -0.02 (VIOLATED) +clkbuf_leaf_85_clk/A 1.50 1.52 -0.02 (VIOLATED) +clkbuf_leaf_93_clk/A 1.50 1.52 -0.02 (VIOLATED) +clkbuf_leaf_96_clk/A 1.50 1.52 -0.02 (VIOLATED) +clkbuf_leaf_97_clk/A 1.50 1.52 -0.02 (VIOLATED) +clkbuf_leaf_92_clk/A 1.50 1.52 -0.02 (VIOLATED) +_070050_/A 1.50 1.52 -0.02 (VIOLATED) +_072685_/A 1.50 1.52 -0.02 (VIOLATED) +_110233_/B 1.50 1.52 -0.02 (VIOLATED) +_065840_/B 1.50 1.51 -0.01 (VIOLATED) +clkbuf_7_6_0_clk/X 1.51 1.52 -0.01 (VIOLATED) +_076817_/B 1.50 1.51 -0.01 (VIOLATED) +_119229_/B 1.50 1.51 -0.01 (VIOLATED) +_119308_/B 1.50 1.51 -0.01 (VIOLATED) +_065076_/A 1.50 1.51 -0.01 (VIOLATED) +_120783_/B 1.50 1.50 -0.00 (VIOLATED) + +max capacitance + +Pin Limit Cap Slack +------------------------------------------------------------ +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +clkbuf_7_12_0_clk/X 0.13 0.15 -0.02 (VIOLATED) +clkbuf_7_24_0_clk/X 0.13 0.14 -0.01 (VIOLATED) +clkbuf_7_13_0_clk/X 0.13 0.14 -0.01 (VIOLATED) +_098691_/Y 0.14 0.15 -0.01 (VIOLATED) +clkbuf_7_6_0_clk/X 0.13 0.13 -0.00 (VIOLATED) +_080729_/Y 0.43 0.43 -0.00 (VIOLATED) +clkbuf_7_51_0_clk/X 0.13 0.13 -0.00 (VIOLATED) + + +========================================================================== +global route max_slew_violation_count +-------------------------------------------------------------------------- +max slew violation count 163 + +========================================================================== +global route max_fanout_violation_count +-------------------------------------------------------------------------- +max fanout violation count 0 + +========================================================================== +global route max_cap_violation_count +-------------------------------------------------------------------------- +max cap violation count 999 + +========================================================================== +global route report_tns +-------------------------------------------------------------------------- +tns 0.00 + +========================================================================== +global route report_wns +-------------------------------------------------------------------------- +wns 0.00 + +========================================================================== +global route report_worst_slack +-------------------------------------------------------------------------- +worst slack 36.23 + +========================================================================== +global route report_clock_skew +-------------------------------------------------------------------------- +Clock clk +Latency CRPR Skew +_144168_/CLK ^ + 9.61 +_144504_/CLK ^ + 7.92 0.00 1.70 + + +========================================================================== +global route report_power +-------------------------------------------------------------------------- +Group Internal Switching Leakage Total + Power Power Power Power +---------------------------------------------------------------- +Sequential 2.01e-02 7.78e-04 2.04e-07 2.09e-02 56.6% +Combinational 3.54e-03 1.25e-02 2.28e-07 1.60e-02 43.4% +Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +---------------------------------------------------------------- +Total 2.36e-02 1.33e-02 4.32e-07 3.69e-02 100.0% + 64.1% 35.9% 0.0% + +========================================================================== +global route report_design_area +-------------------------------------------------------------------------- +Design area 1432728 u^2 7% utilization. + + +========================================================================== +check_antennas +-------------------------------------------------------------------------- +[INFO ANT-0001] Found 0 pin violations. +[INFO ANT-0002] Found 0 net violations in 83035 nets. +[INFO FLW-0007] clock clk period 50.000000 +[INFO FLW-0008] Clock clk period 13.077 +[INFO FLW-0009] Clock clk slack 36.235 +[INFO FLW-0011] Path endpoint count 25469 +Elapsed time: 0:46.32[h:]min:sec. CPU time: user 45.43 sys 0.80 (99%). Peak memory: 2629368KB. diff --git a/build/openroad/logs/5_2_TritonRoute.json b/build/openroad/logs/5_2_TritonRoute.json new file mode 100644 index 0000000..5479efa --- /dev/null +++ b/build/openroad/logs/5_2_TritonRoute.json @@ -0,0 +1,4 @@ +{ + "drt::wire length::total" : 4810208 + , "drt::vias::total" : 676856 +} diff --git a/build/openroad/logs/5_2_TritonRoute.log b/build/openroad/logs/5_2_TritonRoute.log new file mode 100644 index 0000000..d3fea66 --- /dev/null +++ b/build/openroad/logs/5_2_TritonRoute.log @@ -0,0 +1,1092 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/4_cts.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0094] Created 100000 Insts +[INFO ODB-0094] Created 200000 Insts +[INFO ODB-0094] Created 300000 Insts +[INFO ODB-0094] Created 400000 Insts +[INFO ODB-0094] Created 500000 Insts +[INFO ODB-0094] Created 600000 Insts +[INFO ODB-0094] Created 700000 Insts +[INFO ODB-0094] Created 800000 Insts +[INFO ODB-0094] Created 900000 Insts +[INFO ODB-0094] Created 1000000 Insts +[INFO ODB-0094] Created 1100000 Insts +[INFO ODB-0094] Created 1200000 Insts +[INFO ODB-0094] Created 1300000 Insts +[INFO ODB-0094] Created 1400000 Insts +[INFO ODB-0094] Created 1500000 Insts +[INFO ODB-0094] Created 1600000 Insts +[INFO ODB-0094] Created 1700000 Insts +[INFO ODB-0094] Created 1800000 Insts +[INFO ODB-0094] Created 1900000 Insts +[INFO ODB-0094] Created 2000000 Insts +[INFO ODB-0094] Created 2100000 Insts +[INFO ODB-0094] Created 2200000 Insts +[INFO ODB-0094] Created 2300000 Insts +[INFO ODB-0094] Created 2400000 Insts +[INFO ODB-0094] Created 2500000 Insts +[INFO ODB-0094] Created 2600000 Insts +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 2678419 components and 5653812 component-terminals. +[INFO ODB-0132] Created 2 special nets and 5356838 connections. +[INFO ODB-0133] Created 83035 nets and 296646 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/4_cts.def +[INFO ORD-0030] Using 6 thread(s). +[INFO DRT-0149] Reading tech and libs. + +Units: 1000 +Number of layers: 13 +Number of macros: 437 +Number of vias: 25 +Number of viarulegen: 25 + +[INFO DRT-0150] Reading design. + +Design: A2P_WB +Die area: ( 0 0 ) ( 5200000 4609140 ) +Number of track patterns: 12 +Number of DEF vias: 4 +Number of components: 2678419 +Number of terminals: 254 +Number of snets: 2 +Number of nets: 83035 + +[INFO DRT-0151] Reading guide. +[INFO DRT-0156] guideIn read 100000 guides. +[INFO DRT-0156] guideIn read 200000 guides. +[INFO DRT-0156] guideIn read 300000 guides. +[INFO DRT-0156] guideIn read 400000 guides. +[INFO DRT-0156] guideIn read 500000 guides. +[INFO DRT-0156] guideIn read 600000 guides. +[INFO DRT-0156] guideIn read 700000 guides. + +Number of guides: 708948 + +[INFO DRT-0167] List of default vias: + Layer mcon + default via: L1M1_PR + Layer via + default via: M1M2_PR + Layer via2 + default via: M2M3_PR + Layer via3 + default via: M3M4_PR + Layer via4 + default via: M4M5_PR_MR +[INFO DRT-0162] Library cell analysis. +[INFO DRT-0163] Instance analysis. + Complete 10000 instances. + Complete 20000 instances. + Complete 30000 instances. + Complete 40000 instances. + Complete 50000 instances. + Complete 60000 instances. + Complete 70000 instances. + Complete 80000 instances. + Complete 90000 instances. + Complete 100000 instances. + Complete 200000 instances. + Complete 300000 instances. + Complete 400000 instances. + Complete 500000 instances. + Complete 600000 instances. + Complete 700000 instances. + Complete 800000 instances. + Complete 900000 instances. + Complete 1000000 instances. + Complete 1100000 instances. + Complete 1200000 instances. + Complete 1300000 instances. + Complete 1400000 instances. + Complete 1500000 instances. + Complete 1600000 instances. + Complete 1700000 instances. + Complete 1800000 instances. + Complete 1900000 instances. + Complete 2000000 instances. + Complete 2100000 instances. + Complete 2200000 instances. + Complete 2300000 instances. + Complete 2400000 instances. + Complete 2500000 instances. + Complete 2600000 instances. +[INFO DRT-0164] Number of unique instances = 402. +[INFO DRT-0168] Init region query. +[INFO DRT-0018] Complete 10000 insts. +[INFO DRT-0018] Complete 20000 insts. +[INFO DRT-0018] Complete 30000 insts. +[INFO DRT-0018] Complete 40000 insts. +[INFO DRT-0018] Complete 50000 insts. +[INFO DRT-0018] Complete 60000 insts. +[INFO DRT-0018] Complete 70000 insts. +[INFO DRT-0018] Complete 80000 insts. +[INFO DRT-0018] Complete 90000 insts. +[INFO DRT-0019] Complete 100000 insts. +[INFO DRT-0019] Complete 200000 insts. +[INFO DRT-0019] Complete 300000 insts. +[INFO DRT-0019] Complete 400000 insts. +[INFO DRT-0019] Complete 500000 insts. +[INFO DRT-0019] Complete 600000 insts. +[INFO DRT-0019] Complete 700000 insts. +[INFO DRT-0019] Complete 800000 insts. +[INFO DRT-0019] Complete 900000 insts. +[INFO DRT-0019] Complete 1000000 insts. +[INFO DRT-0019] Complete 1100000 insts. +[INFO DRT-0019] Complete 1200000 insts. +[INFO DRT-0019] Complete 1300000 insts. +[INFO DRT-0019] Complete 1400000 insts. +[INFO DRT-0019] Complete 1500000 insts. +[INFO DRT-0019] Complete 1600000 insts. +[INFO DRT-0019] Complete 1700000 insts. +[INFO DRT-0019] Complete 1800000 insts. +[INFO DRT-0019] Complete 1900000 insts. +[INFO DRT-0019] Complete 2000000 insts. +[INFO DRT-0019] Complete 2100000 insts. +[INFO DRT-0019] Complete 2200000 insts. +[INFO DRT-0019] Complete 2300000 insts. +[INFO DRT-0019] Complete 2400000 insts. +[INFO DRT-0019] Complete 2500000 insts. +[INFO DRT-0019] Complete 2600000 insts. +[INFO DRT-0024] Complete FR_MASTERSLICE. +[INFO DRT-0024] Complete FR_VIA. +[INFO DRT-0024] Complete li1. +[INFO DRT-0024] Complete mcon. +[INFO DRT-0024] Complete met1. +[INFO DRT-0024] Complete via. +[INFO DRT-0024] Complete met2. +[INFO DRT-0024] Complete via2. +[INFO DRT-0024] Complete met3. +[INFO DRT-0024] Complete via3. +[INFO DRT-0024] Complete met4. +[INFO DRT-0024] Complete via4. +[INFO DRT-0024] Complete met5. +[INFO DRT-0033] FR_MASTERSLICE shape region query size = 0. +[INFO DRT-0033] FR_VIA shape region query size = 0. +[INFO DRT-0033] li1 shape region query size = 8868617. +[INFO DRT-0033] mcon shape region query size = 166205. +[INFO DRT-0033] met1 shape region query size = 6021926. +[INFO DRT-0033] via shape region query size = 1351680. +[INFO DRT-0033] met2 shape region query size = 540889. +[INFO DRT-0033] via2 shape region query size = 1081344. +[INFO DRT-0033] met3 shape region query size = 540709. +[INFO DRT-0033] via3 shape region query size = 1081344. +[INFO DRT-0033] met4 shape region query size = 324544. +[INFO DRT-0033] via4 shape region query size = 53856. +[INFO DRT-0033] met5 shape region query size = 54162. +[INFO DRT-0165] Start pin access. +[INFO DRT-0076] Complete 100 pins. +[INFO DRT-0076] Complete 200 pins. +[INFO DRT-0076] Complete 300 pins. +[INFO DRT-0076] Complete 400 pins. +[INFO DRT-0076] Complete 500 pins. +[INFO DRT-0076] Complete 600 pins. +[INFO DRT-0076] Complete 700 pins. +[INFO DRT-0076] Complete 800 pins. +[INFO DRT-0076] Complete 900 pins. +[INFO DRT-0077] Complete 1000 pins. +[INFO DRT-0078] Complete 1758 pins. +[INFO DRT-0079] Complete 100 unique inst patterns. +[INFO DRT-0079] Complete 200 unique inst patterns. +[INFO DRT-0079] Complete 300 unique inst patterns. +[INFO DRT-0081] Complete 392 unique inst patterns. +[INFO DRT-0082] Complete 1000 groups. +[INFO DRT-0082] Complete 2000 groups. +[INFO DRT-0082] Complete 3000 groups. +[INFO DRT-0082] Complete 4000 groups. +[INFO DRT-0082] Complete 5000 groups. +[INFO DRT-0082] Complete 6000 groups. +[INFO DRT-0082] Complete 7000 groups. +[INFO DRT-0082] Complete 8000 groups. +[INFO DRT-0082] Complete 9000 groups. +[INFO DRT-0083] Complete 10000 groups. +[INFO DRT-0083] Complete 20000 groups. +[INFO DRT-0083] Complete 30000 groups. +[INFO DRT-0083] Complete 40000 groups. +[INFO DRT-0083] Complete 50000 groups. +[INFO DRT-0083] Complete 60000 groups. +[INFO DRT-0083] Complete 70000 groups. +[INFO DRT-0083] Complete 80000 groups. +[INFO DRT-0084] Complete 83820 groups. +#scanned instances = 2678419 +#unique instances = 402 +#stdCellGenAp = 13712 +#stdCellValidPlanarAp = 208 +#stdCellValidViaAp = 10333 +#stdCellPinNoAp = 0 +#stdCellPinCnt = 296646 +#instTermValidViaApCnt = 0 +#macroGenAp = 0 +#macroValidPlanarAp = 0 +#macroValidViaAp = 0 +#macroNoAp = 0 +[INFO DRT-0166] Complete pin access. +[INFO DRT-0267] cpu time = 00:00:11, elapsed time = 00:00:03, memory = 4445.77 (MB), peak = 4445.77 (MB) +[INFO DRT-0169] Post process guides. +[INFO DRT-0176] GCELLGRID X 0 DO 667 STEP 6900 ; +[INFO DRT-0177] GCELLGRID Y 0 DO 753 STEP 6900 ; +[INFO DRT-0026] Complete 10000 origin guides. +[INFO DRT-0026] Complete 20000 origin guides. +[INFO DRT-0026] Complete 30000 origin guides. +[INFO DRT-0026] Complete 40000 origin guides. +[INFO DRT-0026] Complete 50000 origin guides. +[INFO DRT-0026] Complete 60000 origin guides. +[INFO DRT-0026] Complete 70000 origin guides. +[INFO DRT-0026] Complete 80000 origin guides. +[INFO DRT-0026] Complete 90000 origin guides. +[INFO DRT-0027] Complete 100000 origin guides. +[INFO DRT-0027] Complete 200000 origin guides. +[INFO DRT-0027] Complete 300000 origin guides. +[INFO DRT-0027] Complete 400000 origin guides. +[INFO DRT-0027] Complete 500000 origin guides. +[INFO DRT-0027] Complete 600000 origin guides. +[INFO DRT-0027] Complete 700000 origin guides. +[INFO DRT-0028] Complete FR_MASTERSLICE. +[INFO DRT-0028] Complete FR_VIA. +[INFO DRT-0028] Complete li1. +[INFO DRT-0028] Complete mcon. +[INFO DRT-0028] Complete met1. +[INFO DRT-0028] Complete via. +[INFO DRT-0028] Complete met2. +[INFO DRT-0028] Complete via2. +[INFO DRT-0028] Complete met3. +[INFO DRT-0028] Complete via3. +[INFO DRT-0028] Complete met4. +[INFO DRT-0028] Complete via4. +[INFO DRT-0028] Complete met5. + complete 10000 nets. + complete 20000 nets. + complete 30000 nets. + complete 40000 nets. + complete 50000 nets. + complete 60000 nets. + complete 70000 nets. + complete 80000 nets. +[INFO DRT-0178] Init guide query. +[INFO DRT-0029] Complete 10000 nets (guide). +[INFO DRT-0029] Complete 20000 nets (guide). +[INFO DRT-0029] Complete 30000 nets (guide). +[INFO DRT-0029] Complete 40000 nets (guide). +[INFO DRT-0029] Complete 50000 nets (guide). +[INFO DRT-0029] Complete 60000 nets (guide). +[INFO DRT-0029] Complete 70000 nets (guide). +[INFO DRT-0029] Complete 80000 nets (guide). +[INFO DRT-0035] Complete FR_MASTERSLICE (guide). +[INFO DRT-0035] Complete FR_VIA (guide). +[INFO DRT-0035] Complete li1 (guide). +[INFO DRT-0035] Complete mcon (guide). +[INFO DRT-0035] Complete met1 (guide). +[INFO DRT-0035] Complete via (guide). +[INFO DRT-0035] Complete met2 (guide). +[INFO DRT-0035] Complete via2 (guide). +[INFO DRT-0035] Complete met3 (guide). +[INFO DRT-0035] Complete via3 (guide). +[INFO DRT-0035] Complete met4 (guide). +[INFO DRT-0035] Complete via4 (guide). +[INFO DRT-0035] Complete met5 (guide). +[INFO DRT-0036] FR_MASTERSLICE guide region query size = 0. +[INFO DRT-0036] FR_VIA guide region query size = 0. +[INFO DRT-0036] li1 guide region query size = 253790. +[INFO DRT-0036] mcon guide region query size = 0. +[INFO DRT-0036] met1 guide region query size = 215326. +[INFO DRT-0036] via guide region query size = 0. +[INFO DRT-0036] met2 guide region query size = 126545. +[INFO DRT-0036] via2 guide region query size = 0. +[INFO DRT-0036] met3 guide region query size = 6001. +[INFO DRT-0036] via3 guide region query size = 0. +[INFO DRT-0036] met4 guide region query size = 1532. +[INFO DRT-0036] via4 guide region query size = 0. +[INFO DRT-0036] met5 guide region query size = 2. +[INFO DRT-0179] Init gr pin query. +[INFO DRT-0185] Post process initialize RPin region query. +[INFO DRT-0181] Start track assignment. +[INFO DRT-0184] Done with 381867 vertical wires in 16 frboxes and 221329 horizontal wires in 14 frboxes. +[INFO DRT-0186] Done with 65662 vertical wires in 16 frboxes and 59551 horizontal wires in 14 frboxes. +[INFO DRT-0182] Complete track assignment. +[INFO DRT-0267] cpu time = 00:02:53, elapsed time = 00:00:50, memory = 6351.11 (MB), peak = 6825.89 (MB) +[INFO DRT-0187] Start routing data preparation. +[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 6351.11 (MB), peak = 6825.89 (MB) +[INFO DRT-0194] Start detail routing. +[INFO DRT-0195] Start 0th optimization iteration. + Completing 10% with 1596 violations. + elapsed time = 00:00:15, memory = 6540.95 (MB). + Completing 20% with 8031 violations. + elapsed time = 00:00:58, memory = 8531.58 (MB). + Completing 30% with 8033 violations. + elapsed time = 00:01:01, memory = 8531.58 (MB). + Completing 40% with 9404 violations. + elapsed time = 00:01:45, memory = 8492.78 (MB). + Completing 50% with 14833 violations. + elapsed time = 00:02:03, memory = 8696.30 (MB). + Completing 60% with 16256 violations. + elapsed time = 00:02:23, memory = 8630.54 (MB). + Completing 70% with 21841 violations. + elapsed time = 00:03:17, memory = 8785.66 (MB). + Completing 80% with 21840 violations. + elapsed time = 00:03:19, memory = 8785.66 (MB). + Completing 90% with 22953 violations. + elapsed time = 00:04:15, memory = 8768.04 (MB). + Completing 100% with 27811 violations. + elapsed time = 00:04:33, memory = 8934.45 (MB). +[INFO DRT-0199] Number of violations = 79014. +[INFO DRT-0267] cpu time = 00:26:17, elapsed time = 00:04:36, memory = 8904.70 (MB), peak = 8942.63 (MB) +Total wire length = 4865863 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2051450 um. +Total wire length on LAYER met2 = 2051717 um. +Total wire length on LAYER met3 = 535490 um. +Total wire length on LAYER met4 = 226839 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 681135. +Up-via summary (total 681135):. + +------------------------- + FR_MASTERSLICE 0 + li1 296181 + met1 372089 + met2 10015 + met3 2846 + met4 4 +------------------------- + 681135 + + +[INFO DRT-0195] Start 1st optimization iteration. + Completing 10% with 75512 violations. + elapsed time = 00:00:11, memory = 8904.70 (MB). + Completing 20% with 60710 violations. + elapsed time = 00:00:45, memory = 8990.31 (MB). + Completing 30% with 60709 violations. + elapsed time = 00:00:48, memory = 8990.31 (MB). + Completing 40% with 57397 violations. + elapsed time = 00:01:20, memory = 8990.31 (MB). + Completing 50% with 43446 violations. + elapsed time = 00:01:35, memory = 9012.08 (MB). + Completing 60% with 39413 violations. + elapsed time = 00:01:49, memory = 9012.08 (MB). + Completing 70% with 24476 violations. + elapsed time = 00:02:26, memory = 9028.84 (MB). + Completing 80% with 24475 violations. + elapsed time = 00:02:28, memory = 9028.84 (MB). + Completing 90% with 20772 violations. + elapsed time = 00:03:04, memory = 9028.84 (MB). + Completing 100% with 6614 violations. + elapsed time = 00:03:18, memory = 8987.64 (MB). +[INFO DRT-0199] Number of violations = 6621. +[INFO DRT-0267] cpu time = 00:18:38, elapsed time = 00:03:21, memory = 8987.64 (MB), peak = 9046.82 (MB) +Total wire length = 4827617 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2035397 um. +Total wire length on LAYER met2 = 2031566 um. +Total wire length on LAYER met3 = 534026 um. +Total wire length on LAYER met4 = 226260 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676745. +Up-via summary (total 676745):. + +------------------------- + FR_MASTERSLICE 0 + li1 296144 + met1 367687 + met2 10107 + met3 2803 + met4 4 +------------------------- + 676745 + + +[INFO DRT-0195] Start 2nd optimization iteration. + Completing 10% with 6542 violations. + elapsed time = 00:00:06, memory = 8972.93 (MB). + Completing 20% with 6179 violations. + elapsed time = 00:00:36, memory = 8973.04 (MB). + Completing 30% with 6179 violations. + elapsed time = 00:00:36, memory = 8973.04 (MB). + Completing 40% with 6050 violations. + elapsed time = 00:01:01, memory = 8973.04 (MB). + Completing 50% with 5789 violations. + elapsed time = 00:01:17, memory = 8973.04 (MB). + Completing 60% with 5789 violations. + elapsed time = 00:01:23, memory = 8973.04 (MB). + Completing 70% with 5592 violations. + elapsed time = 00:01:53, memory = 8973.04 (MB). + Completing 80% with 5251 violations. + elapsed time = 00:01:56, memory = 8973.04 (MB). + Completing 90% with 5191 violations. + elapsed time = 00:02:22, memory = 8973.04 (MB). + Completing 100% with 4695 violations. + elapsed time = 00:02:32, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 4701. +[INFO DRT-0267] cpu time = 00:14:10, elapsed time = 00:02:35, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4811613 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2027887 um. +Total wire length on LAYER met2 = 2022697 um. +Total wire length on LAYER met3 = 534164 um. +Total wire length on LAYER met4 = 226498 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 674207. +Up-via summary (total 674207):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 365256 + met2 9977 + met3 2825 + met4 4 +------------------------- + 674207 + + +[INFO DRT-0195] Start 3rd optimization iteration. + Completing 10% with 4524 violations. + elapsed time = 00:00:04, memory = 8973.04 (MB). + Completing 20% with 3546 violations. + elapsed time = 00:00:17, memory = 8973.04 (MB). + Completing 30% with 3546 violations. + elapsed time = 00:00:17, memory = 8973.04 (MB). + Completing 40% with 3364 violations. + elapsed time = 00:00:27, memory = 8973.04 (MB). + Completing 50% with 2386 violations. + elapsed time = 00:00:34, memory = 8973.04 (MB). + Completing 60% with 2217 violations. + elapsed time = 00:00:37, memory = 8973.04 (MB). + Completing 70% with 1265 violations. + elapsed time = 00:00:51, memory = 8973.04 (MB). + Completing 80% with 1265 violations. + elapsed time = 00:00:51, memory = 8973.04 (MB). + Completing 90% with 1088 violations. + elapsed time = 00:01:04, memory = 8973.04 (MB). + Completing 100% with 196 violations. + elapsed time = 00:01:09, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 196. +[INFO DRT-0267] cpu time = 00:05:39, elapsed time = 00:01:10, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810332 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2015138 um. +Total wire length on LAYER met2 = 2023765 um. +Total wire length on LAYER met3 = 544366 um. +Total wire length on LAYER met4 = 226696 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676774. +Up-via summary (total 676774):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366392 + met2 11392 + met3 2841 + met4 4 +------------------------- + 676774 + + +[INFO DRT-0195] Start 4th optimization iteration. + Completing 10% with 195 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). + Completing 20% with 150 violations. + elapsed time = 00:00:02, memory = 8973.04 (MB). + Completing 30% with 150 violations. + elapsed time = 00:00:02, memory = 8973.04 (MB). + Completing 40% with 139 violations. + elapsed time = 00:00:02, memory = 8973.04 (MB). + Completing 50% with 87 violations. + elapsed time = 00:00:03, memory = 8973.04 (MB). + Completing 60% with 81 violations. + elapsed time = 00:00:03, memory = 8973.04 (MB). + Completing 70% with 48 violations. + elapsed time = 00:00:05, memory = 8973.04 (MB). + Completing 80% with 48 violations. + elapsed time = 00:00:05, memory = 8973.04 (MB). + Completing 90% with 44 violations. + elapsed time = 00:00:05, memory = 8973.04 (MB). + Completing 100% with 9 violations. + elapsed time = 00:00:07, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 9. +[INFO DRT-0267] cpu time = 00:00:21, elapsed time = 00:00:07, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810215 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014688 um. +Total wire length on LAYER met2 = 2023773 um. +Total wire length on LAYER met3 = 544671 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676852. +Up-via summary (total 676852):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366418 + met2 11442 + met3 2843 + met4 4 +------------------------- + 676852 + + +[INFO DRT-0195] Start 5th optimization iteration. + Completing 10% with 9 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 20% with 9 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 30% with 9 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 40% with 9 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 50% with 9 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 60% with 9 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 70% with 8 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). + Completing 80% with 8 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). + Completing 90% with 8 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). + Completing 100% with 8 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 8. +[INFO DRT-0267] cpu time = 00:00:02, elapsed time = 00:00:01, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810216 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014688 um. +Total wire length on LAYER met2 = 2023773 um. +Total wire length on LAYER met3 = 544671 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676852. +Up-via summary (total 676852):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366418 + met2 11442 + met3 2843 + met4 4 +------------------------- + 676852 + + +[INFO DRT-0195] Start 6th optimization iteration. + Completing 10% with 8 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 20% with 3 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 30% with 3 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 40% with 3 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 50% with 3 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 60% with 3 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 70% with 3 violations. + elapsed time = 00:00:02, memory = 8973.04 (MB). + Completing 80% with 3 violations. + elapsed time = 00:00:02, memory = 8973.04 (MB). + Completing 90% with 3 violations. + elapsed time = 00:00:02, memory = 8973.04 (MB). + Completing 100% with 3 violations. + elapsed time = 00:00:02, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 3. +[INFO DRT-0267] cpu time = 00:00:02, elapsed time = 00:00:02, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810215 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014683 um. +Total wire length on LAYER met2 = 2023776 um. +Total wire length on LAYER met3 = 544672 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676854. +Up-via summary (total 676854):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366419 + met2 11443 + met3 2843 + met4 4 +------------------------- + 676854 + + +[INFO DRT-0195] Start 7th optimization iteration. + Completing 10% with 3 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 20% with 3 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 30% with 3 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 40% with 3 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 50% with 3 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 60% with 3 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 70% with 3 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 80% with 2 violations. + elapsed time = 00:00:02, memory = 8973.04 (MB). + Completing 90% with 2 violations. + elapsed time = 00:00:02, memory = 8973.04 (MB). + Completing 100% with 2 violations. + elapsed time = 00:00:02, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 2. +[INFO DRT-0267] cpu time = 00:00:04, elapsed time = 00:00:02, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810218 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014680 um. +Total wire length on LAYER met2 = 2023782 um. +Total wire length on LAYER met3 = 544671 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676854. +Up-via summary (total 676854):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366419 + met2 11443 + met3 2843 + met4 4 +------------------------- + 676854 + + +[INFO DRT-0195] Start 8th optimization iteration. + Completing 10% with 2 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 20% with 2 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). + Completing 30% with 2 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). + Completing 40% with 2 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). + Completing 50% with 2 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). + Completing 60% with 2 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). + Completing 70% with 2 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). + Completing 80% with 1 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). + Completing 90% with 1 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). + Completing 100% with 1 violations. + elapsed time = 00:00:01, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 1. +[INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:01, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810218 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014681 um. +Total wire length on LAYER met2 = 2023781 um. +Total wire length on LAYER met3 = 544671 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676855. +Up-via summary (total 676855):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366420 + met2 11443 + met3 2843 + met4 4 +------------------------- + 676855 + + +[INFO DRT-0195] Start 9th optimization iteration. + Completing 10% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 20% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 30% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 40% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 50% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 60% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 70% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 80% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 90% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 100% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 1. +[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810218 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014681 um. +Total wire length on LAYER met2 = 2023781 um. +Total wire length on LAYER met3 = 544671 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676855. +Up-via summary (total 676855):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366420 + met2 11443 + met3 2843 + met4 4 +------------------------- + 676855 + + +[INFO DRT-0195] Start 10th optimization iteration. + Completing 10% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 20% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 30% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 40% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 50% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 60% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 70% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 80% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 90% with 1 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 100% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 0. +[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810208 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014661 um. +Total wire length on LAYER met2 = 2023773 um. +Total wire length on LAYER met3 = 544690 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676856. +Up-via summary (total 676856):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366419 + met2 11445 + met3 2843 + met4 4 +------------------------- + 676856 + + +[INFO DRT-0195] Start 17th optimization iteration. + Completing 10% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 20% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 30% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 40% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 50% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 60% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 70% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 80% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 90% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 100% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 0. +[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810208 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014661 um. +Total wire length on LAYER met2 = 2023773 um. +Total wire length on LAYER met3 = 544690 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676856. +Up-via summary (total 676856):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366419 + met2 11445 + met3 2843 + met4 4 +------------------------- + 676856 + + +[INFO DRT-0195] Start 25th optimization iteration. + Completing 10% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 20% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 30% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 40% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 50% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 60% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 70% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 80% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 90% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 100% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 0. +[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810208 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014661 um. +Total wire length on LAYER met2 = 2023773 um. +Total wire length on LAYER met3 = 544690 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676856. +Up-via summary (total 676856):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366419 + met2 11445 + met3 2843 + met4 4 +------------------------- + 676856 + + +[INFO DRT-0195] Start 33rd optimization iteration. + Completing 10% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 20% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 30% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 40% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 50% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 60% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 70% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 80% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 90% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 100% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 0. +[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810208 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014661 um. +Total wire length on LAYER met2 = 2023773 um. +Total wire length on LAYER met3 = 544690 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676856. +Up-via summary (total 676856):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366419 + met2 11445 + met3 2843 + met4 4 +------------------------- + 676856 + + +[INFO DRT-0195] Start 41st optimization iteration. + Completing 10% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 20% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 30% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 40% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 50% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 60% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 70% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 80% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 90% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 100% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 0. +[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810208 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014661 um. +Total wire length on LAYER met2 = 2023773 um. +Total wire length on LAYER met3 = 544690 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676856. +Up-via summary (total 676856):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366419 + met2 11445 + met3 2843 + met4 4 +------------------------- + 676856 + + +[INFO DRT-0195] Start 49th optimization iteration. + Completing 10% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 20% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 30% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 40% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 50% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 60% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 70% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 80% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 90% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 100% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 0. +[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810208 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014661 um. +Total wire length on LAYER met2 = 2023773 um. +Total wire length on LAYER met3 = 544690 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676856. +Up-via summary (total 676856):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366419 + met2 11445 + met3 2843 + met4 4 +------------------------- + 676856 + + +[INFO DRT-0195] Start 57th optimization iteration. + Completing 10% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 20% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 30% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 40% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 50% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 60% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 70% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 80% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 90% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). + Completing 100% with 0 violations. + elapsed time = 00:00:00, memory = 8973.04 (MB). +[INFO DRT-0199] Number of violations = 0. +[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 8973.04 (MB), peak = 9046.82 (MB) +Total wire length = 4810208 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014661 um. +Total wire length on LAYER met2 = 2023773 um. +Total wire length on LAYER met3 = 544690 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676856. +Up-via summary (total 676856):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366419 + met2 11445 + met3 2843 + met4 4 +------------------------- + 676856 + + +[INFO DRT-0198] Complete detail routing. +Total wire length = 4810208 um. +Total wire length on LAYER li1 = 0 um. +Total wire length on LAYER met1 = 2014661 um. +Total wire length on LAYER met2 = 2023773 um. +Total wire length on LAYER met3 = 544690 um. +Total wire length on LAYER met4 = 226718 um. +Total wire length on LAYER met5 = 365 um. +Total number of vias = 676856. +Up-via summary (total 676856):. + +------------------------- + FR_MASTERSLICE 0 + li1 296145 + met1 366419 + met2 11445 + met3 2843 + met4 4 +------------------------- + 676856 + + +[INFO DRT-0267] cpu time = 01:05:23, elapsed time = 00:12:04, memory = 8973.04 (MB), peak = 9046.82 (MB) + +[INFO DRT-0180] Post processing. +Elapsed time: 13:33.88[h:]min:sec. CPU time: user 4136.68 sys 5.21 (508%). Peak memory: 9263940KB. diff --git a/build/openroad/logs/6_1_merge.log b/build/openroad/logs/6_1_merge.log new file mode 100644 index 0000000..3c263c6 --- /dev/null +++ b/build/openroad/logs/6_1_merge.log @@ -0,0 +1,42 @@ +[INFO] Reporting cells prior to loading DEF ... +[INFO] Reading DEF ... +[INFO] Clearing cells... +[INFO] ... preserving 'VIA_L1M1_PR' +[INFO] ... preserving 'VIA_L1M1_PR_R' +[INFO] ... preserving 'VIA_L1M1_PR_M' +[INFO] ... preserving 'VIA_L1M1_PR_MR' +[INFO] ... preserving 'VIA_L1M1_PR_C' +[INFO] ... preserving 'VIA_M1M2_PR' +[INFO] ... preserving 'VIA_M1M2_PR_R' +[INFO] ... preserving 'VIA_M1M2_PR_M' +[INFO] ... preserving 'VIA_M1M2_PR_MR' +[INFO] ... preserving 'VIA_M1M2_PR_C' +[INFO] ... preserving 'VIA_M2M3_PR' +[INFO] ... preserving 'VIA_M2M3_PR_R' +[INFO] ... preserving 'VIA_M2M3_PR_M' +[INFO] ... preserving 'VIA_M2M3_PR_MR' +[INFO] ... preserving 'VIA_M2M3_PR_C' +[INFO] ... preserving 'VIA_M3M4_PR' +[INFO] ... preserving 'VIA_M3M4_PR_R' +[INFO] ... preserving 'VIA_M3M4_PR_M' +[INFO] ... preserving 'VIA_M3M4_PR_MR' +[INFO] ... preserving 'VIA_M3M4_PR_C' +[INFO] ... preserving 'VIA_M4M5_PR' +[INFO] ... preserving 'VIA_M4M5_PR_R' +[INFO] ... preserving 'VIA_M4M5_PR_M' +[INFO] ... preserving 'VIA_M4M5_PR_MR' +[INFO] ... preserving 'VIA_M4M5_PR_C' +[INFO] ... preserving 'VIA_via_1600x480' +[INFO] ... preserving 'VIA_via2_1600x480' +[INFO] ... preserving 'VIA_via3_1600x480' +[INFO] ... preserving 'VIA_via4_1600x1600' +[INFO] Merging GDS/OAS files... + ./platforms/sky130hd/gds/sky130_fd_sc_hd.gds +[INFO] Copying toplevel cell 'A2P_WB' +INFO: Reading config file: ./platforms/sky130hd/fill.json +[INFO] Checking for missing cell from GDS/OAS... +[INFO] All LEF cells have matching GDS/OAS cells +[INFO] Checking for orphan cell in the final layout... +[INFO] No orphan cells +[INFO] Writing out GDS/OAS 'results/sky130hd/a2p/base/6_1_merged.gds' +Elapsed time: 0:32.87[h:]min:sec. CPU time: user 31.24 sys 1.63 (99%). Peak memory: 5202072KB. diff --git a/build/openroad/logs/6_report.json b/build/openroad/logs/6_report.json new file mode 100644 index 0000000..2c63c08 --- /dev/null +++ b/build/openroad/logs/6_report.json @@ -0,0 +1,2 @@ +{ +} diff --git a/build/openroad/logs/6_report.log b/build/openroad/logs/6_report.log new file mode 100644 index 0000000..f5e8668 --- /dev/null +++ b/build/openroad/logs/6_report.log @@ -0,0 +1,2331 @@ +OpenROAD v2.0-1901-g6157d4945 +This program is licensed under the BSD-3 license. See the LICENSE file for details. +Components of this program may be licensed under more restrictive licenses which must be honored. +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0223] Created 11 technology layers +[INFO ODB-0224] Created 25 technology vias +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef +[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[INFO ODB-0225] Created 437 library cells +[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef +[WARNING ORD-0033] -order_wires is deprecated. +[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/6_1_fill.def +[INFO ODB-0128] Design: A2P_WB +[INFO ODB-0094] Created 100000 Insts +[INFO ODB-0094] Created 200000 Insts +[INFO ODB-0094] Created 300000 Insts +[INFO ODB-0094] Created 400000 Insts +[INFO ODB-0094] Created 500000 Insts +[INFO ODB-0094] Created 600000 Insts +[INFO ODB-0094] Created 700000 Insts +[INFO ODB-0094] Created 800000 Insts +[INFO ODB-0094] Created 900000 Insts +[INFO ODB-0094] Created 1000000 Insts +[INFO ODB-0094] Created 1100000 Insts +[INFO ODB-0094] Created 1200000 Insts +[INFO ODB-0094] Created 1300000 Insts +[INFO ODB-0094] Created 1400000 Insts +[INFO ODB-0094] Created 1500000 Insts +[INFO ODB-0094] Created 1600000 Insts +[INFO ODB-0094] Created 1700000 Insts +[INFO ODB-0094] Created 1800000 Insts +[INFO ODB-0094] Created 1900000 Insts +[INFO ODB-0094] Created 2000000 Insts +[INFO ODB-0094] Created 2100000 Insts +[INFO ODB-0094] Created 2200000 Insts +[INFO ODB-0094] Created 2300000 Insts +[INFO ODB-0094] Created 2400000 Insts +[INFO ODB-0094] Created 2500000 Insts +[INFO ODB-0094] Created 2600000 Insts +[INFO ODB-0130] Created 254 pins. +[INFO ODB-0131] Created 2678419 components and 5653812 component-terminals. +[INFO ODB-0132] Created 2 special nets and 5356838 connections. +[INFO ODB-0133] Created 83035 nets and 296646 connections. +[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/6_1_fill.def +[INFO] Deleted 0 routing obstructions +[INFO RCX-0431] Defined process_corner X with ext_model_index 0 +[INFO RCX-0029] Defined extraction corner X +[INFO RCX-0008] extracting parasitics of A2P_WB ... +Notice 0: Split top of 33070 T shapes. +[INFO RCX-0435] Reading extraction model file ./platforms/sky130hd/rcx_patterns.rules ... +[INFO RCX-0436] RC segment generation A2P_WB (max_merge_res 50.0) ... +[INFO RCX-0040] Final 396372 rc segments +[INFO RCX-0439] Coupling Cap extraction A2P_WB ... +[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded. +[INFO RCX-0043] 685634 wires to be extracted +[INFO RCX-0442] 6% completion -- 47380 wires have been extracted +[INFO RCX-0442] 24% completion -- 168836 wires have been extracted +[INFO RCX-0442] 43% completion -- 294827 wires have been extracted +[INFO RCX-0442] 50% completion -- 346294 wires have been extracted +[INFO RCX-0442] 56% completion -- 390568 wires have been extracted +[INFO RCX-0442] 67% completion -- 460385 wires have been extracted +[INFO RCX-0442] 78% completion -- 539385 wires have been extracted +[INFO RCX-0442] 91% completion -- 624206 wires have been extracted +[INFO RCX-0442] 99% completion -- 681306 wires have been extracted +[INFO RCX-0045] Extract 83035 nets, 479297 rsegs, 479297 caps, 832179 ccs +[INFO RCX-0015] Finished extracting A2P_WB. +[INFO RCX-0016] Writing SPEF ... +[INFO RCX-0443] 83035 nets finished +[INFO RCX-0017] Finished writing SPEF ... +IR drop analysis for power nets is skipped because PWR_NETS_VOLTAGES is undefined +IR drop analysis for ground nets is skipped because GND_NETS_VOLTAGES is undefined + +========================================================================== +finish report_checks -path_delay min +-------------------------------------------------------------------------- +Startpoint: externalResetVector[19] (input port clocked by clk) +Endpoint: _145476_ (removal check against rising-edge clock clk) +Path Group: **async_default** +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 v input external delay + 0.00 0.00 1.00 v externalResetVector[19] (in) + 1 0.03 externalResetVector[19] (net) + 0.01 0.00 1.00 v input10/A (sky130_fd_sc_hd__buf_16) + 0.02 0.10 1.10 v input10/X (sky130_fd_sc_hd__buf_16) + 1 0.00 net10 (net) + 0.02 0.00 1.10 v hold203/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.61 1.71 v hold203/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3068 (net) + 0.11 0.00 1.72 v hold204/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 2.36 v hold204/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3069 (net) + 0.11 0.00 2.36 v hold205/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 3.01 v hold205/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3070 (net) + 0.11 0.00 3.01 v hold206/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 3.66 v hold206/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3071 (net) + 0.11 0.00 3.67 v hold207/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 4.31 v hold207/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 net3072 (net) + 0.11 0.00 4.31 v hold208/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 4.96 v hold208/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3073 (net) + 0.11 0.00 4.96 v hold209/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 5.61 v hold209/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3074 (net) + 0.11 0.00 5.61 v hold210/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 6.25 v hold210/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 net3075 (net) + 0.11 0.00 6.26 v hold211/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 6.90 v hold211/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 net3076 (net) + 0.11 0.00 6.90 v hold212/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.11 0.65 7.55 v hold212/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 net3077 (net) + 0.11 0.00 7.55 v hold213/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.12 0.66 8.21 v hold213/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3078 (net) + 0.12 0.00 8.21 v hold214/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.68 8.89 v hold214/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3079 (net) + 0.14 0.00 8.89 v hold215/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.14 0.69 9.58 v hold215/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net3080 (net) + 0.14 0.00 9.58 v hold216/A (sky130_fd_sc_hd__clkbuf_4) + 0.10 0.24 9.83 v hold216/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.05 net3067 (net) + 0.10 0.00 9.83 v _122284_/B (sky130_fd_sc_hd__nand2_1) + 0.06 0.09 9.93 ^ _122284_/Y (sky130_fd_sc_hd__nand2_1) + 1 0.00 _000052_ (net) + 0.06 0.00 9.93 ^ _145476_/SET_B (sky130_fd_sc_hd__dfbbp_1) + 9.93 data arrival time + + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.14 clk (net) + 0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.27 net2865 (net) + 0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.28 0.00 0.71 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.29 1.00 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.28 0.00 1.00 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 1.19 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.14 0.00 1.19 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.26 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.26 0.00 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.20 1.65 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.15 0.00 1.65 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.29 0.28 1.93 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.29 0.00 1.93 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 2.13 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.14 0.00 2.13 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.15 2.28 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.10 0.00 2.28 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.59 0.47 2.75 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_1_0_7_clk (net) + 0.59 0.01 2.76 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.30 3.06 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_0_clk (net) + 0.26 0.00 3.06 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.20 3.25 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_1_clk (net) + 0.15 0.00 3.25 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.23 3.48 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_2_clk (net) + 0.22 0.00 3.49 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 3.67 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_3_clk (net) + 0.15 0.00 3.68 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 3.89 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_4_clk (net) + 0.20 0.00 3.89 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 4.07 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_5_clk (net) + 0.13 0.00 4.07 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.61 0.49 4.56 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_1_6_clk (net) + 0.61 0.01 4.57 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.28 4.85 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_3_0_clk (net) + 0.23 0.00 4.85 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 5.03 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_1_clk (net) + 0.13 0.00 5.03 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 5.22 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_2_clk (net) + 0.17 0.00 5.22 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.44 0.38 5.61 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_3_3_clk (net) + 0.44 0.01 5.61 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.26 5.87 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_6_0_clk (net) + 0.22 0.00 5.87 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 6.06 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_1_clk (net) + 0.14 0.00 6.06 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 6.19 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_6_2_clk (net) + 0.08 0.00 6.19 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.62 0.49 6.68 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_4_6_3_clk (net) + 0.62 0.00 6.69 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.24 6.93 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_13_0_clk (net) + 0.17 0.00 6.93 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.24 7.17 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_5_13_1_clk (net) + 0.23 0.00 7.17 ^ clkbuf_6_27_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.11 0.16 7.33 ^ clkbuf_6_27_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_27_0_clk (net) + 0.11 0.00 7.33 ^ clkbuf_6_27_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.46 0.38 7.72 ^ clkbuf_6_27_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_27_1_clk (net) + 0.46 0.00 7.72 ^ clkbuf_7_54_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.20 0.93 8.66 ^ clkbuf_7_54_0_clk/X (sky130_fd_sc_hd__buf_1) + 10 0.10 clknet_7_54_0_clk (net) + 1.20 0.00 8.66 ^ clkbuf_leaf_235_clk/A (sky130_fd_sc_hd__buf_1) + 1.01 0.83 9.49 ^ clkbuf_leaf_235_clk/X (sky130_fd_sc_hd__buf_1) + 24 0.09 clknet_leaf_235_clk (net) + 1.01 0.00 9.49 ^ _145476_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 9.49 clock reconvergence pessimism + 0.30 9.78 library removal time + 9.78 data required time +----------------------------------------------------------------------------- + 9.78 data required time + -9.93 data arrival time +----------------------------------------------------------------------------- + 0.14 slack (MET) + + +Startpoint: _144887_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: _145489_ (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: min + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.14 clk (net) + 0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.27 net2865 (net) + 0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.28 0.00 0.71 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 0.99 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_0_clk (net) + 0.27 0.00 0.99 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 1.19 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_1_clk (net) + 0.14 0.00 1.19 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.25 0.25 1.44 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_2_clk (net) + 0.25 0.00 1.44 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.18 1.62 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_3_clk (net) + 0.12 0.00 1.62 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.20 1.82 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_4_clk (net) + 0.18 0.00 1.82 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 1.99 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_5_clk (net) + 0.13 0.00 1.99 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 2.12 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_6_clk (net) + 0.08 0.00 2.12 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.71 0.55 2.67 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.06 clknet_1_1_7_clk (net) + 0.71 0.02 2.68 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.30 2.98 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_0_clk (net) + 0.26 0.00 2.99 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.16 0.20 3.18 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_1_clk (net) + 0.16 0.00 3.19 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.25 3.43 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_2_clk (net) + 0.24 0.00 3.43 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 3.61 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_3_clk (net) + 0.12 0.00 3.61 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 3.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_4_clk (net) + 0.23 0.00 3.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 4.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_5_clk (net) + 0.14 0.00 4.02 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.57 0.46 4.49 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_2_6_clk (net) + 0.57 0.01 4.49 ^ clkbuf_3_4_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.27 4.76 ^ clkbuf_3_4_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_4_0_clk (net) + 0.23 0.00 4.77 ^ clkbuf_3_4_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 4.95 ^ clkbuf_3_4_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_4_1_clk (net) + 0.13 0.00 4.95 ^ clkbuf_3_4_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.17 5.12 ^ clkbuf_3_4_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_4_2_clk (net) + 0.14 0.00 5.12 ^ clkbuf_3_4_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.27 5.38 ^ clkbuf_3_4_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_3_4_3_clk (net) + 0.28 0.00 5.39 ^ clkbuf_4_8_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.16 0.21 5.59 ^ clkbuf_4_8_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_8_0_clk (net) + 0.16 0.00 5.60 ^ clkbuf_4_8_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.15 5.74 ^ clkbuf_4_8_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_8_1_clk (net) + 0.10 0.00 5.74 ^ clkbuf_4_8_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.07 0.12 5.86 ^ clkbuf_4_8_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_8_2_clk (net) + 0.07 0.00 5.86 ^ clkbuf_4_8_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.40 6.26 ^ clkbuf_4_8_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_8_3_clk (net) + 0.50 0.01 6.27 ^ clkbuf_5_16_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.23 6.50 ^ clkbuf_5_16_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_16_0_clk (net) + 0.18 0.00 6.50 ^ clkbuf_5_16_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.31 0.29 6.79 ^ clkbuf_5_16_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.03 clknet_5_16_1_clk (net) + 0.31 0.00 6.79 ^ clkbuf_6_33_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.11 0.17 6.97 ^ clkbuf_6_33_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_33_0_clk (net) + 0.11 0.00 6.97 ^ clkbuf_6_33_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.35 0.31 7.28 ^ clkbuf_6_33_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.03 clknet_6_33_1_clk (net) + 0.35 0.00 7.28 ^ clkbuf_7_66_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.46 0.42 7.70 ^ clkbuf_7_66_0_clk/X (sky130_fd_sc_hd__buf_1) + 4 0.04 clknet_7_66_0_clk (net) + 0.46 0.00 7.70 ^ clkbuf_leaf_928_clk/A (sky130_fd_sc_hd__buf_1) + 0.74 0.62 8.32 ^ clkbuf_leaf_928_clk/X (sky130_fd_sc_hd__buf_1) + 17 0.06 clknet_leaf_928_clk (net) + 0.74 0.00 8.32 ^ _144887_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.07 0.53 8.85 v _144887_/Q (sky130_fd_sc_hd__dfxtp_4) + 2 0.04 dataCache_1_.stageB_mmuRsp_isIoAccess (net) + 0.07 0.00 8.85 v _122189_/A (sky130_fd_sc_hd__clkinv_16) + 0.22 0.17 9.02 ^ _122189_/Y (sky130_fd_sc_hd__clkinv_16) + 1 0.35 _023083_ (net) + 0.26 0.07 9.09 ^ _145489_/D (sky130_fd_sc_hd__dfxtp_4) + 9.09 data arrival time + + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.14 clk (net) + 0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.27 net2865 (net) + 0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.28 0.00 0.71 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.29 1.00 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.28 0.00 1.00 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 1.19 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.14 0.00 1.19 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.26 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.26 0.00 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.20 1.65 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.15 0.00 1.65 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.29 0.28 1.93 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.29 0.00 1.93 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 2.13 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.14 0.00 2.13 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.15 2.28 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.10 0.00 2.28 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.59 0.47 2.75 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_1_0_7_clk (net) + 0.59 0.01 2.76 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.30 3.06 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_0_clk (net) + 0.26 0.00 3.06 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.20 3.25 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_1_clk (net) + 0.15 0.00 3.25 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.23 3.48 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_2_clk (net) + 0.22 0.00 3.49 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 3.67 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_3_clk (net) + 0.15 0.00 3.68 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 3.89 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_1_4_clk (net) + 0.20 0.00 3.89 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 4.07 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_1_5_clk (net) + 0.13 0.00 4.07 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.61 0.49 4.56 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_1_6_clk (net) + 0.61 0.01 4.57 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.28 4.85 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_3_0_clk (net) + 0.23 0.00 4.85 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 5.03 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_1_clk (net) + 0.13 0.00 5.03 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.19 5.22 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_3_2_clk (net) + 0.17 0.00 5.22 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.44 0.38 5.61 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_3_3_3_clk (net) + 0.44 0.01 5.61 ^ clkbuf_4_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.25 5.86 ^ clkbuf_4_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_7_0_clk (net) + 0.21 0.00 5.86 ^ clkbuf_4_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 6.03 ^ clkbuf_4_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_7_1_clk (net) + 0.12 0.00 6.03 ^ clkbuf_4_7_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.13 6.16 ^ clkbuf_4_7_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_7_2_clk (net) + 0.09 0.00 6.16 ^ clkbuf_4_7_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.51 0.41 6.57 ^ clkbuf_4_7_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_7_3_clk (net) + 0.51 0.01 6.58 ^ clkbuf_5_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.27 6.85 ^ clkbuf_5_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_5_14_0_clk (net) + 0.23 0.00 6.85 ^ clkbuf_5_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.34 0.32 7.17 ^ clkbuf_5_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.03 clknet_5_14_1_clk (net) + 0.34 0.00 7.18 ^ clkbuf_6_29_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.19 7.37 ^ clkbuf_6_29_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_29_0_clk (net) + 0.13 0.00 7.37 ^ clkbuf_6_29_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.21 0.22 7.58 ^ clkbuf_6_29_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_6_29_1_clk (net) + 0.21 0.00 7.59 ^ clkbuf_7_59_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.63 0.52 8.10 ^ clkbuf_7_59_0_clk/X (sky130_fd_sc_hd__buf_1) + 5 0.05 clknet_7_59_0_clk (net) + 0.63 0.00 8.11 ^ clkbuf_opt_66_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.19 8.29 ^ clkbuf_opt_66_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_66_0_clk (net) + 0.10 0.00 8.29 ^ clkbuf_opt_66_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.19 8.48 ^ clkbuf_opt_66_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_66_1_clk (net) + 0.18 0.00 8.48 ^ clkbuf_opt_66_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.09 0.15 8.63 ^ clkbuf_opt_66_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_66_2_clk (net) + 0.09 0.00 8.63 ^ clkbuf_opt_66_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.19 8.82 ^ clkbuf_opt_66_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_66_3_clk (net) + 0.18 0.00 8.82 ^ clkbuf_opt_66_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.15 8.97 ^ clkbuf_opt_66_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_66_4_clk (net) + 0.10 0.00 8.97 ^ clkbuf_opt_66_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.19 9.16 ^ clkbuf_opt_66_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_opt_66_5_clk (net) + 0.18 0.00 9.16 ^ clkbuf_opt_66_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.31 0.30 9.46 ^ clkbuf_opt_66_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.03 clknet_opt_66_6_clk (net) + 0.31 0.00 9.46 ^ _145489_/CLK (sky130_fd_sc_hd__dfxtp_4) + 0.00 9.46 clock reconvergence pessimism + -0.04 9.42 library hold time + 9.42 data required time +----------------------------------------------------------------------------- + 9.42 data required time + -9.09 data arrival time +----------------------------------------------------------------------------- + -0.33 slack (VIOLATED) + + + +========================================================================== +finish report_checks -path_delay max +-------------------------------------------------------------------------- +Startpoint: externalResetVector[25] (input port clocked by clk) +Endpoint: _145482_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[25] (in) + 1 0.03 externalResetVector[25] (net) + 0.01 0.00 1.00 ^ input17/A (sky130_fd_sc_hd__buf_16) + 0.02 0.07 1.08 ^ input17/X (sky130_fd_sc_hd__buf_16) + 1 0.00 net17 (net) + 0.02 0.00 1.08 ^ hold17/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 0.68 1.76 ^ hold17/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2882 (net) + 0.25 0.00 1.76 ^ hold18/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.17 0.68 2.44 ^ hold18/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2883 (net) + 0.17 0.00 2.44 ^ hold19/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.16 0.66 3.09 ^ hold19/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2884 (net) + 0.16 0.00 3.10 ^ hold20/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.16 0.66 3.75 ^ hold20/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2885 (net) + 0.16 0.00 3.75 ^ hold21/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.17 0.66 4.42 ^ hold21/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2886 (net) + 0.17 0.00 4.42 ^ hold22/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.17 0.66 5.08 ^ hold22/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2887 (net) + 0.17 0.00 5.08 ^ hold23/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.17 0.66 5.74 ^ hold23/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2888 (net) + 0.17 0.00 5.74 ^ hold24/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.16 0.66 6.40 ^ hold24/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2889 (net) + 0.16 0.00 6.40 ^ hold25/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.16 0.65 7.06 ^ hold25/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 net2890 (net) + 0.16 0.00 7.06 ^ hold26/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.22 0.70 7.76 ^ hold26/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2891 (net) + 0.22 0.00 7.76 ^ hold27/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.22 0.71 8.47 ^ hold27/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2892 (net) + 0.22 0.00 8.47 ^ hold28/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 0.73 9.21 ^ hold28/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2893 (net) + 0.25 0.00 9.21 ^ hold29/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.30 0.77 9.98 ^ hold29/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.03 net2894 (net) + 0.30 0.00 9.98 ^ hold30/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.23 0.73 10.71 ^ hold30/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2895 (net) + 0.23 0.00 10.71 ^ hold31/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.30 11.01 ^ hold31/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 net2881 (net) + 0.18 0.00 11.01 ^ _122256_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.10 0.13 11.14 ^ _122256_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000063_ (net) + 0.10 0.00 11.14 ^ _145482_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 11.14 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock source latency + 0.00 0.00 50.00 ^ clk (in) + 1 0.14 clk (net) + 0.16 0.08 50.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.28 0.27 50.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.27 net2865 (net) + 0.31 0.07 50.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.29 50.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.28 0.00 50.71 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 50.99 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_0_clk (net) + 0.27 0.00 50.99 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 51.19 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_1_clk (net) + 0.14 0.00 51.19 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.25 0.25 51.44 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_2_clk (net) + 0.25 0.00 51.44 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.18 51.62 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_3_clk (net) + 0.12 0.00 51.62 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.20 51.82 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_4_clk (net) + 0.18 0.00 51.82 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.99 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_5_clk (net) + 0.13 0.00 51.99 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 52.12 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_6_clk (net) + 0.08 0.00 52.12 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.71 0.55 52.67 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.06 clknet_1_1_7_clk (net) + 0.71 0.02 52.68 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.30 52.98 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_0_clk (net) + 0.26 0.00 52.99 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.16 0.20 53.18 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_1_clk (net) + 0.16 0.00 53.19 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.25 53.43 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_2_clk (net) + 0.24 0.00 53.43 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 53.61 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_3_clk (net) + 0.12 0.00 53.61 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 53.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_4_clk (net) + 0.23 0.00 53.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 54.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_5_clk (net) + 0.14 0.00 54.02 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.57 0.46 54.49 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_2_6_clk (net) + 0.57 0.01 54.49 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 54.76 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_5_0_clk (net) + 0.22 0.00 54.76 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 54.94 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_1_clk (net) + 0.13 0.00 54.94 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.19 0.20 55.14 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_5_2_clk (net) + 0.19 0.00 55.14 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.54 0.45 55.60 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_3_5_3_clk (net) + 0.54 0.01 55.61 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.29 55.90 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_11_0_clk (net) + 0.26 0.00 55.90 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 56.08 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_11_1_clk (net) + 0.13 0.00 56.09 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.14 56.23 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_11_2_clk (net) + 0.10 0.00 56.23 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.41 56.64 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_11_3_clk (net) + 0.50 0.01 56.65 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.19 0.24 56.89 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_5_23_0_clk (net) + 0.19 0.00 56.89 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.43 57.32 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_23_1_clk (net) + 0.50 0.00 57.32 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.20 57.52 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_47_0_clk (net) + 0.13 0.00 57.52 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 57.88 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_47_1_clk (net) + 0.42 0.00 57.89 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.98 0.79 58.67 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1) + 8 0.09 clknet_7_94_0_clk (net) + 0.98 0.01 58.68 ^ clkbuf_leaf_813_clk/A (sky130_fd_sc_hd__buf_1) + 1.23 0.98 59.66 ^ clkbuf_leaf_813_clk/X (sky130_fd_sc_hd__buf_1) + 30 0.11 clknet_leaf_813_clk (net) + 1.23 0.00 59.66 ^ _145482_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 59.66 clock reconvergence pessimism + 0.10 59.76 library recovery time + 59.76 data required time +----------------------------------------------------------------------------- + 59.76 data required time + -11.14 data arrival time +----------------------------------------------------------------------------- + 48.62 slack (MET) + + +Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: iBusWB_STB (output port clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.14 clk (net) + 0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.27 net2865 (net) + 0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.28 0.00 0.71 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.29 1.00 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.28 0.00 1.00 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 1.19 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.14 0.00 1.19 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.26 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.26 0.00 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.20 1.65 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.15 0.00 1.65 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.29 0.28 1.93 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.29 0.00 1.93 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 2.13 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.14 0.00 2.13 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.15 2.28 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.10 0.00 2.28 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.59 0.47 2.75 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_1_0_7_clk (net) + 0.59 0.01 2.76 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.28 3.04 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_0_clk (net) + 0.24 0.00 3.04 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 3.24 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_1_clk (net) + 0.15 0.00 3.24 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.25 0.25 3.48 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_2_clk (net) + 0.25 0.00 3.49 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 3.67 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_3_clk (net) + 0.13 0.00 3.67 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 3.88 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_4_clk (net) + 0.20 0.00 3.88 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.11 0.16 4.04 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_5_clk (net) + 0.11 0.00 4.04 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 4.52 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_0_6_clk (net) + 0.60 0.01 4.53 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 4.80 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_0_clk (net) + 0.22 0.00 4.80 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 4.98 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_1_clk (net) + 0.14 0.00 4.98 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 5.22 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_2_clk (net) + 0.23 0.00 5.22 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.57 0.48 5.70 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_3_1_3_clk (net) + 0.57 0.01 5.71 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 5.97 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_3_0_clk (net) + 0.22 0.00 5.97 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 6.15 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_1_clk (net) + 0.13 0.00 6.15 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.14 6.29 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_2_clk (net) + 0.10 0.00 6.29 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.52 0.42 6.72 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_3_3_clk (net) + 0.52 0.01 6.72 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 6.95 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_7_0_clk (net) + 0.17 0.00 6.95 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.37 7.32 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_7_1_clk (net) + 0.42 0.00 7.33 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.11 0.18 7.51 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_14_0_clk (net) + 0.11 0.00 7.51 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.40 0.35 7.85 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.03 clknet_6_14_1_clk (net) + 0.40 0.00 7.86 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.28 0.99 8.84 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1) + 12 0.11 clknet_7_28_0_clk (net) + 1.28 0.01 8.85 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1) + 1.15 0.93 9.78 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1) + 28 0.10 clknet_leaf_174_clk (net) + 1.15 0.00 9.78 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.34 0.93 10.71 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4) + 3 0.25 net78 (net) + 0.34 0.01 10.72 v _122162_/A (sky130_fd_sc_hd__inv_1) + 0.11 0.16 10.88 ^ _122162_/Y (sky130_fd_sc_hd__inv_1) + 2 0.01 _061099_ (net) + 0.11 0.00 10.88 ^ _145579_/B (sky130_fd_sc_hd__ha_1) + 0.12 0.21 11.09 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1) + 1 0.01 _061100_ (net) + 0.12 0.00 11.09 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4) + 0.99 0.71 11.80 v _061258_/Y (sky130_fd_sc_hd__nand3b_4) + 3 0.32 net99 (net) + 0.99 0.05 11.85 v _122417_/A (sky130_fd_sc_hd__buf_12) + 0.09 0.48 12.32 v _122417_/X (sky130_fd_sc_hd__buf_12) + 1 0.16 net100 (net) + 0.24 0.11 12.43 v output100/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.22 12.66 v output100/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.02 iBusWB_STB (net) + 0.08 0.00 12.66 v iBusWB_STB (out) + 12.66 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (propagated) + 0.00 50.00 clock reconvergence pessimism + -1.00 49.00 output external delay + 49.00 data required time +----------------------------------------------------------------------------- + 49.00 data required time + -12.66 data arrival time +----------------------------------------------------------------------------- + 36.34 slack (MET) + + + +========================================================================== +finish report_checks -unconstrained +-------------------------------------------------------------------------- +Startpoint: externalResetVector[25] (input port clocked by clk) +Endpoint: _145482_ (recovery check against rising-edge clock clk) +Path Group: **async_default** +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock network delay (propagated) + 1.00 1.00 ^ input external delay + 0.00 0.00 1.00 ^ externalResetVector[25] (in) + 1 0.03 externalResetVector[25] (net) + 0.01 0.00 1.00 ^ input17/A (sky130_fd_sc_hd__buf_16) + 0.02 0.07 1.08 ^ input17/X (sky130_fd_sc_hd__buf_16) + 1 0.00 net17 (net) + 0.02 0.00 1.08 ^ hold17/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 0.68 1.76 ^ hold17/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2882 (net) + 0.25 0.00 1.76 ^ hold18/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.17 0.68 2.44 ^ hold18/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2883 (net) + 0.17 0.00 2.44 ^ hold19/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.16 0.66 3.09 ^ hold19/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2884 (net) + 0.16 0.00 3.10 ^ hold20/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.16 0.66 3.75 ^ hold20/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2885 (net) + 0.16 0.00 3.75 ^ hold21/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.17 0.66 4.42 ^ hold21/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2886 (net) + 0.17 0.00 4.42 ^ hold22/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.17 0.66 5.08 ^ hold22/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2887 (net) + 0.17 0.00 5.08 ^ hold23/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.17 0.66 5.74 ^ hold23/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2888 (net) + 0.17 0.00 5.74 ^ hold24/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.16 0.66 6.40 ^ hold24/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2889 (net) + 0.16 0.00 6.40 ^ hold25/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.16 0.65 7.06 ^ hold25/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.01 net2890 (net) + 0.16 0.00 7.06 ^ hold26/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.22 0.70 7.76 ^ hold26/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2891 (net) + 0.22 0.00 7.76 ^ hold27/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.22 0.71 8.47 ^ hold27/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2892 (net) + 0.22 0.00 8.47 ^ hold28/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.25 0.73 9.21 ^ hold28/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2893 (net) + 0.25 0.00 9.21 ^ hold29/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.30 0.77 9.98 ^ hold29/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.03 net2894 (net) + 0.30 0.00 9.98 ^ hold30/A (sky130_fd_sc_hd__dlygate4sd3_1) + 0.23 0.73 10.71 ^ hold30/X (sky130_fd_sc_hd__dlygate4sd3_1) + 1 0.02 net2895 (net) + 0.23 0.00 10.71 ^ hold31/A (sky130_fd_sc_hd__clkbuf_4) + 0.18 0.30 11.01 ^ hold31/X (sky130_fd_sc_hd__clkbuf_4) + 2 0.06 net2881 (net) + 0.18 0.00 11.01 ^ _122256_/A_N (sky130_fd_sc_hd__nand2b_1) + 0.10 0.13 11.14 ^ _122256_/Y (sky130_fd_sc_hd__nand2b_1) + 1 0.00 _000063_ (net) + 0.10 0.00 11.14 ^ _145482_/RESET_B (sky130_fd_sc_hd__dfbbp_1) + 11.14 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock source latency + 0.00 0.00 50.00 ^ clk (in) + 1 0.14 clk (net) + 0.16 0.08 50.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.28 0.27 50.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.27 net2865 (net) + 0.31 0.07 50.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.29 50.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.28 0.00 50.71 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.27 0.29 50.99 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_0_clk (net) + 0.27 0.00 50.99 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 51.19 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_1_clk (net) + 0.14 0.00 51.19 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.25 0.25 51.44 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_2_clk (net) + 0.25 0.00 51.44 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.18 51.62 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_3_clk (net) + 0.12 0.00 51.62 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.18 0.20 51.82 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_1_4_clk (net) + 0.18 0.00 51.82 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 51.99 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_5_clk (net) + 0.13 0.00 51.99 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.08 0.13 52.12 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_1_6_clk (net) + 0.08 0.00 52.12 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.71 0.55 52.67 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.06 clknet_1_1_7_clk (net) + 0.71 0.02 52.68 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.30 52.98 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_0_clk (net) + 0.26 0.00 52.99 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.16 0.20 53.18 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_1_clk (net) + 0.16 0.00 53.19 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.25 53.43 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_2_clk (net) + 0.24 0.00 53.43 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.12 0.17 53.61 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_3_clk (net) + 0.12 0.00 53.61 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 53.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_2_4_clk (net) + 0.23 0.00 53.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 54.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_2_5_clk (net) + 0.14 0.00 54.02 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.57 0.46 54.49 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_2_6_clk (net) + 0.57 0.01 54.49 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 54.76 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_5_0_clk (net) + 0.22 0.00 54.76 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 54.94 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_5_1_clk (net) + 0.13 0.00 54.94 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.19 0.20 55.14 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_5_2_clk (net) + 0.19 0.00 55.14 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.54 0.45 55.60 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_3_5_3_clk (net) + 0.54 0.01 55.61 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.29 55.90 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_11_0_clk (net) + 0.26 0.00 55.90 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 56.08 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_11_1_clk (net) + 0.13 0.00 56.09 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.14 56.23 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_11_2_clk (net) + 0.10 0.00 56.23 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.41 56.64 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_11_3_clk (net) + 0.50 0.01 56.65 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.19 0.24 56.89 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_5_23_0_clk (net) + 0.19 0.00 56.89 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.50 0.43 57.32 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_23_1_clk (net) + 0.50 0.00 57.32 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.20 57.52 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_47_0_clk (net) + 0.13 0.00 57.52 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.36 57.88 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_6_47_1_clk (net) + 0.42 0.00 57.89 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.98 0.79 58.67 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1) + 8 0.09 clknet_7_94_0_clk (net) + 0.98 0.01 58.68 ^ clkbuf_leaf_813_clk/A (sky130_fd_sc_hd__buf_1) + 1.23 0.98 59.66 ^ clkbuf_leaf_813_clk/X (sky130_fd_sc_hd__buf_1) + 30 0.11 clknet_leaf_813_clk (net) + 1.23 0.00 59.66 ^ _145482_/CLK (sky130_fd_sc_hd__dfbbp_1) + 0.00 59.66 clock reconvergence pessimism + 0.10 59.76 library recovery time + 59.76 data required time +----------------------------------------------------------------------------- + 59.76 data required time + -11.14 data arrival time +----------------------------------------------------------------------------- + 48.62 slack (MET) + + +Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk) +Endpoint: iBusWB_STB (output port clocked by clk) +Path Group: clk +Path Type: max + +Fanout Cap Slew Delay Time Description +----------------------------------------------------------------------------- + 0.00 0.00 clock clk (rise edge) + 0.00 0.00 clock source latency + 0.00 0.00 0.00 ^ clk (in) + 1 0.14 clk (net) + 0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16) + 0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16) + 1 0.27 net2865 (net) + 0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.02 clknet_0_clk (net) + 0.28 0.00 0.71 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.28 0.29 1.00 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_0_clk (net) + 0.28 0.00 1.00 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 1.19 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_1_clk (net) + 0.14 0.00 1.19 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.26 0.26 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_2_clk (net) + 0.26 0.00 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.20 1.65 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_3_clk (net) + 0.15 0.00 1.65 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.29 0.28 1.93 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_1_0_4_clk (net) + 0.29 0.00 1.93 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.19 2.13 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_5_clk (net) + 0.14 0.00 2.13 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.15 2.28 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_1_0_6_clk (net) + 0.10 0.00 2.28 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1) + 0.59 0.47 2.75 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_1_0_7_clk (net) + 0.59 0.01 2.76 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.24 0.28 3.04 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_0_clk (net) + 0.24 0.00 3.04 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.15 0.19 3.24 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_1_clk (net) + 0.15 0.00 3.24 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.25 0.25 3.48 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_2_clk (net) + 0.25 0.00 3.49 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.18 3.67 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_3_clk (net) + 0.13 0.00 3.67 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1) + 0.20 0.21 3.88 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_2_0_4_clk (net) + 0.20 0.00 3.88 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1) + 0.11 0.16 4.04 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_2_0_5_clk (net) + 0.11 0.00 4.04 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1) + 0.60 0.49 4.52 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_2_0_6_clk (net) + 0.60 0.01 4.53 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 4.80 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_0_clk (net) + 0.22 0.00 4.80 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.14 0.18 4.98 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_3_1_1_clk (net) + 0.14 0.00 4.98 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.23 0.23 5.22 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_3_1_2_clk (net) + 0.23 0.00 5.22 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.57 0.48 5.70 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.05 clknet_3_1_3_clk (net) + 0.57 0.01 5.71 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.22 0.27 5.97 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.02 clknet_4_3_0_clk (net) + 0.22 0.00 5.97 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.13 0.17 6.15 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_1_clk (net) + 0.13 0.00 6.15 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1) + 0.10 0.14 6.29 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_4_3_2_clk (net) + 0.10 0.00 6.29 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1) + 0.52 0.42 6.72 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_4_3_3_clk (net) + 0.52 0.01 6.72 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.17 0.23 6.95 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_5_7_0_clk (net) + 0.17 0.00 6.95 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.42 0.37 7.32 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.04 clknet_5_7_1_clk (net) + 0.42 0.00 7.33 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1) + 0.11 0.18 7.51 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1) + 1 0.01 clknet_6_14_0_clk (net) + 0.11 0.00 7.51 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1) + 0.40 0.35 7.85 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1) + 2 0.03 clknet_6_14_1_clk (net) + 0.40 0.00 7.86 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1) + 1.28 0.99 8.84 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1) + 12 0.11 clknet_7_28_0_clk (net) + 1.28 0.01 8.85 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1) + 1.15 0.93 9.78 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1) + 28 0.10 clknet_leaf_174_clk (net) + 1.15 0.00 9.78 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4) + 0.34 0.93 10.71 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4) + 3 0.25 net78 (net) + 0.34 0.01 10.72 v _122162_/A (sky130_fd_sc_hd__inv_1) + 0.11 0.16 10.88 ^ _122162_/Y (sky130_fd_sc_hd__inv_1) + 2 0.01 _061099_ (net) + 0.11 0.00 10.88 ^ _145579_/B (sky130_fd_sc_hd__ha_1) + 0.12 0.21 11.09 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1) + 1 0.01 _061100_ (net) + 0.12 0.00 11.09 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4) + 0.99 0.71 11.80 v _061258_/Y (sky130_fd_sc_hd__nand3b_4) + 3 0.32 net99 (net) + 0.99 0.05 11.85 v _122417_/A (sky130_fd_sc_hd__buf_12) + 0.09 0.48 12.32 v _122417_/X (sky130_fd_sc_hd__buf_12) + 1 0.16 net100 (net) + 0.24 0.11 12.43 v output100/A (sky130_fd_sc_hd__clkbuf_2) + 0.08 0.22 12.66 v output100/X (sky130_fd_sc_hd__clkbuf_2) + 1 0.02 iBusWB_STB (net) + 0.08 0.00 12.66 v iBusWB_STB (out) + 12.66 data arrival time + + 50.00 50.00 clock clk (rise edge) + 0.00 50.00 clock network delay (propagated) + 0.00 50.00 clock reconvergence pessimism + -1.00 49.00 output external delay + 49.00 data required time +----------------------------------------------------------------------------- + 49.00 data required time + -12.66 data arrival time +----------------------------------------------------------------------------- + 36.34 slack (MET) + + + +========================================================================== +finish report_check_types -max_slew -max_cap -max_fanout -violators +-------------------------------------------------------------------------- +max slew + +Pin Limit Slew Slack +------------------------------------------------------------ +_098691_/Y 1.50 1.87 -0.38 (VIOLATED) +_099021_/B1 1.50 1.87 -0.37 (VIOLATED) +_094945_/Y 1.49 1.80 -0.31 (VIOLATED) +_095270_/B1 1.50 1.80 -0.30 (VIOLATED) +_083721_/A 1.50 1.74 -0.24 (VIOLATED) +_066290_/A 1.50 1.73 -0.23 (VIOLATED) +_081683_/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_89_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_opt_17_0_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_opt_16_0_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_opt_18_0_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_90_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_91_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_107_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_108_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_370_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_110_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_109_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_368_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_112_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_369_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_106_clk/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_leaf_111_clk/A 1.50 1.73 -0.23 (VIOLATED) +_069108_/A 1.50 1.73 -0.23 (VIOLATED) +clkbuf_7_12_0_clk/X 1.51 1.73 -0.22 (VIOLATED) +_082228_/A 1.50 1.72 -0.22 (VIOLATED) +_099700_/A 1.50 1.72 -0.22 (VIOLATED) +_084887_/C1 1.50 1.71 -0.21 (VIOLATED) +_061742_/B 1.50 1.71 -0.21 (VIOLATED) +_084888_/A1 1.50 1.71 -0.21 (VIOLATED) +_082253_/A 1.50 1.71 -0.21 (VIOLATED) +_065499_/A 1.50 1.70 -0.20 (VIOLATED) +_061898_/A 1.50 1.70 -0.20 (VIOLATED) +_073774_/A 1.50 1.69 -0.19 (VIOLATED) +_090030_/A 1.50 1.69 -0.19 (VIOLATED) +_061928_/A 1.50 1.69 -0.19 (VIOLATED) +_070050_/A 1.50 1.69 -0.19 (VIOLATED) +_097459_/Y 1.49 1.68 -0.19 (VIOLATED) +_072685_/A 1.50 1.69 -0.19 (VIOLATED) +_065840_/B 1.50 1.68 -0.18 (VIOLATED) +_099701_/A1 1.50 1.68 -0.18 (VIOLATED) +_065076_/A 1.50 1.68 -0.18 (VIOLATED) +_100286_/C1 1.50 1.68 -0.18 (VIOLATED) +_100285_/Y 1.50 1.68 -0.18 (VIOLATED) +_097761_/B1 1.50 1.68 -0.18 (VIOLATED) +_088698_/Y 1.50 1.67 -0.17 (VIOLATED) +_088776_/C1 1.50 1.67 -0.17 (VIOLATED) +_094034_/B1 1.50 1.66 -0.16 (VIOLATED) +_094033_/Y 1.50 1.66 -0.16 (VIOLATED) +_061740_/X 1.51 1.67 -0.16 (VIOLATED) +_081968_/A 1.50 1.63 -0.13 (VIOLATED) +clkbuf_opt_34_0_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_leaf_138_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_leaf_142_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_leaf_137_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_leaf_134_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_leaf_133_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_leaf_141_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_leaf_144_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_leaf_132_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_leaf_148_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_leaf_143_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_leaf_146_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_leaf_147_clk/A 1.50 1.62 -0.12 (VIOLATED) +clkbuf_7_24_0_clk/X 1.51 1.62 -0.11 (VIOLATED) +clkbuf_leaf_367_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_leaf_363_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_leaf_120_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_leaf_119_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_leaf_125_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_opt_20_0_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_leaf_118_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_opt_19_0_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_leaf_116_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_leaf_105_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_leaf_117_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_leaf_115_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_leaf_113_clk/A 1.50 1.61 -0.11 (VIOLATED) +clkbuf_leaf_114_clk/A 1.50 1.61 -0.11 (VIOLATED) +_101103_/A 1.50 1.61 -0.11 (VIOLATED) +_081774_/A 1.50 1.61 -0.11 (VIOLATED) +_082273_/A 1.50 1.61 -0.11 (VIOLATED) +_084691_/A 1.50 1.61 -0.11 (VIOLATED) +_082034_/A 1.50 1.61 -0.11 (VIOLATED) +_083717_/A 1.50 1.61 -0.11 (VIOLATED) +_101104_/A1 1.50 1.61 -0.11 (VIOLATED) +clkbuf_7_13_0_clk/X 1.51 1.61 -0.11 (VIOLATED) +_082148_/A 1.50 1.60 -0.10 (VIOLATED) +_083935_/A 1.50 1.59 -0.09 (VIOLATED) +_089822_/A 1.50 1.59 -0.09 (VIOLATED) +_086087_/A 1.50 1.59 -0.09 (VIOLATED) +_080731_/A 1.50 1.59 -0.09 (VIOLATED) +_083288_/A 1.50 1.59 -0.09 (VIOLATED) +_080729_/Y 1.50 1.59 -0.09 (VIOLATED) +_082872_/C1 1.50 1.56 -0.06 (VIOLATED) +_101819_/Y 1.50 1.55 -0.05 (VIOLATED) +_119647_/A2 1.50 1.55 -0.05 (VIOLATED) +_101937_/B 1.50 1.55 -0.05 (VIOLATED) +_083495_/A 1.50 1.55 -0.05 (VIOLATED) +_122111_/A1 1.50 1.55 -0.05 (VIOLATED) +_081604_/A 1.50 1.55 -0.05 (VIOLATED) +_080321_/A 1.50 1.55 -0.05 (VIOLATED) +_080313_/X 1.51 1.55 -0.04 (VIOLATED) +_116075_/B 1.50 1.52 -0.02 (VIOLATED) +_115613_/B 1.50 1.52 -0.02 (VIOLATED) +_115147_/B 1.50 1.52 -0.02 (VIOLATED) +_117857_/B 1.50 1.52 -0.02 (VIOLATED) +_111955_/B 1.50 1.52 -0.02 (VIOLATED) +_115298_/B 1.50 1.52 -0.02 (VIOLATED) +_116047_/B 1.50 1.52 -0.02 (VIOLATED) +_117938_/B 1.50 1.52 -0.02 (VIOLATED) +_115511_/B 1.50 1.52 -0.02 (VIOLATED) +_115379_/B 1.50 1.52 -0.02 (VIOLATED) +_115709_/B 1.50 1.52 -0.02 (VIOLATED) +_116312_/B 1.50 1.52 -0.02 (VIOLATED) +_117749_/B 1.50 1.52 -0.02 (VIOLATED) +_111121_/B 1.50 1.50 -0.00 (VIOLATED) +_111845_/B 1.50 1.50 -0.00 (VIOLATED) +_111273_/B 1.50 1.50 -0.00 (VIOLATED) +_111657_/B 1.50 1.50 -0.00 (VIOLATED) +_111544_/B 1.50 1.50 -0.00 (VIOLATED) +_111488_/B 1.50 1.50 -0.00 (VIOLATED) +_111712_/B 1.50 1.50 -0.00 (VIOLATED) +_118154_/B 1.50 1.50 -0.00 (VIOLATED) + +max capacitance + +Pin Limit Cap Slack +------------------------------------------------------------ +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED) +_061740_/X 0.54 0.59 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +_098691_/Y 0.14 0.18 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +_094945_/Y 0.11 0.14 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) +_080729_/Y 0.43 0.46 -0.02 (VIOLATED) +_088698_/Y 0.14 0.17 -0.02 (VIOLATED) +clkbuf_7_12_0_clk/X 0.13 0.15 -0.02 (VIOLATED) +_097459_/Y 0.11 0.13 -0.02 (VIOLATED) +_100285_/Y 0.11 0.12 -0.02 (VIOLATED) +_094033_/Y 0.11 0.12 -0.02 (VIOLATED) +_080313_/X 0.51 0.53 -0.02 (VIOLATED) +clkbuf_7_24_0_clk/X 0.13 0.14 -0.01 (VIOLATED) +clkbuf_7_13_0_clk/X 0.13 0.14 -0.01 (VIOLATED) +_101819_/Y 0.15 0.16 -0.01 (VIOLATED) +_107077_/Y 0.11 0.11 -0.00 (VIOLATED) +_084089_/Y 0.11 0.11 -0.00 (VIOLATED) + + +========================================================================== +finish max_slew_violation_count +-------------------------------------------------------------------------- +max slew violation count 124 + +========================================================================== +finish max_fanout_violation_count +-------------------------------------------------------------------------- +max fanout violation count 0 + +========================================================================== +finish max_cap_violation_count +-------------------------------------------------------------------------- +max cap violation count 1007 + +========================================================================== +finish report_tns +-------------------------------------------------------------------------- +tns 0.00 + +========================================================================== +finish report_wns +-------------------------------------------------------------------------- +wns 0.00 + +========================================================================== +finish report_worst_slack +-------------------------------------------------------------------------- +worst slack 36.34 + +========================================================================== +finish report_clock_skew +-------------------------------------------------------------------------- +Clock clk +Latency CRPR Skew +_144168_/CLK ^ + 9.66 +_143176_/CLK ^ + 8.05 0.00 1.62 + + +========================================================================== +finish report_power +-------------------------------------------------------------------------- +Group Internal Switching Leakage Total + Power Power Power Power +---------------------------------------------------------------- +Sequential 2.00e-02 6.77e-04 2.04e-07 2.07e-02 57.4% +Combinational 3.53e-03 1.18e-02 2.28e-07 1.54e-02 42.6% +Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% +---------------------------------------------------------------- +Total 2.36e-02 1.25e-02 4.32e-07 3.61e-02 100.0% + 65.3% 34.7% 0.0% + +========================================================================== +finish report_design_area +-------------------------------------------------------------------------- +Design area 1432728 u^2 7% utilization. + +qt.qpa.xcb: X server does not support XInput 2 +failed to get the current screen resources +QStandardPaths: XDG_RUNTIME_DIR not set, defaulting to '/tmp/runtime-wtf' +qt.qpa.xcb: QXcbConnection: XCB error: 1 (BadRequest), sequence: 164, resource id: 90, major code: 130 (Unknown), minor code: 47 +qt.qpa.xcb: QXcbConnection: XCB error: 170 (Unknown), sequence: 177, resource id: 90, major code: 146 (Unknown), minor code: 20 +[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/sky130hd/a2p/base/final.webp.png +[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/sky130hd/a2p/base/final_placement.webp.png +[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/sky130hd/a2p/base/final_clocks.webp.png +[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/sky130hd/a2p/base/final_resizer.webp.png +Elapsed time: 2:19.53[h:]min:sec. CPU time: user 136.79 sys 2.15 (99%). Peak memory: 5554164KB. diff --git a/build/openroad/readme.md b/build/openroad/readme.md index 582bde9..4d53144 100644 --- a/build/openroad/readme.md +++ b/build/openroad/readme.md @@ -27,6 +27,13 @@ https://people.eecs.berkeley.edu/~alanmi/abc/ https://github.com/The-OpenROAD-Project/OpenSTA/blob/35a3f1e4e3f148b30678f9455e64d220c6758462/doc/OpenSTA.pdf +## links + +https://github.com/ayush-saran/Openlane_Workshop_VSD + + + + ### steps 1. initial synth/timing @@ -55,3 +62,5 @@ https://github.com/The-OpenROAD-Project/OpenSTA/blob/35a3f1e4e3f148b30678f9455e6 (readme-dffram.md) 1. test with gpr using DFFRAMs and ic/dc dir/dat using small inferred mems (1 location) + +* completed flow