OpenROAD v2.0-1901-g6157d4945 This program is licensed under the BSD-3 license. See the LICENSE file for details. Components of this program may be licensed under more restrictive licenses which must be honored. [INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef [INFO ODB-0223] Created 11 technology layers [INFO ODB-0224] Created 25 technology vias [INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef [INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef [INFO ODB-0225] Created 437 library cells [INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef [INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/3_2_place_iop.def [INFO ODB-0128] Design: A2P_WB [INFO ODB-0094] Created 100000 Insts [INFO ODB-0094] Created 200000 Insts [INFO ODB-0094] Created 300000 Insts [INFO ODB-0130] Created 254 pins. [INFO ODB-0131] Created 344463 components and 975384 component-terminals. [INFO ODB-0132] Created 2 special nets and 688926 connections. [INFO ODB-0133] Created 77777 nets and 286452 connections. [INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/3_2_place_iop.def ========================================================================== resizer pre report_checks -path_delay min -------------------------------------------------------------------------- Startpoint: externalResetVector[4] (input port clocked by clk) Endpoint: _145461_ (removal check against rising-edge clock clk) Path Group: **async_default** Path Type: min Fanout Cap Slew Delay Time Description ----------------------------------------------------------------------------- 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 1.00 1.00 v input external delay 0.00 0.00 1.00 v externalResetVector[4] (in) 2 0.30 externalResetVector[4] (net) 0.43 0.21 1.21 v _122268_/B (sky130_fd_sc_hd__nand2_1) 0.12 0.19 1.41 ^ _122268_/Y (sky130_fd_sc_hd__nand2_1) 1 0.00 _000022_ (net) 0.12 0.00 1.41 ^ _145461_/SET_B (sky130_fd_sc_hd__dfbbp_1) 1.41 data arrival time 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 0.00 0.00 clock reconvergence pessimism 0.00 ^ _145461_/CLK (sky130_fd_sc_hd__dfbbp_1) 0.10 0.10 library removal time 0.10 data required time ----------------------------------------------------------------------------- 0.10 data required time -1.41 data arrival time ----------------------------------------------------------------------------- 1.31 slack (MET) Startpoint: _144888_ (rising edge-triggered flip-flop clocked by clk) Endpoint: _122419_ (rising edge-triggered flip-flop clocked by clk) Path Group: clk Path Type: min Fanout Cap Slew Delay Time Description ----------------------------------------------------------------------------- 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 0.00 0.00 0.00 ^ _144888_/CLK (sky130_fd_sc_hd__dfxtp_1) 0.06 0.30 0.30 ^ _144888_/Q (sky130_fd_sc_hd__dfxtp_1) 2 0.00 dataCache_1_.io_mem_cmd_payload_data[0] (net) 0.06 0.00 0.30 ^ _122419_/D (sky130_fd_sc_hd__dfxtp_1) 0.30 data arrival time 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 0.00 0.00 clock reconvergence pessimism 0.00 ^ _122419_/CLK (sky130_fd_sc_hd__dfxtp_1) -0.04 -0.04 library hold time -0.04 data required time ----------------------------------------------------------------------------- -0.04 data required time -0.30 data arrival time ----------------------------------------------------------------------------- 0.34 slack (MET) ========================================================================== resizer pre report_checks -path_delay max -------------------------------------------------------------------------- Startpoint: externalResetVector[28] (input port clocked by clk) Endpoint: _145485_ (recovery check against rising-edge clock clk) Path Group: **async_default** Path Type: max Fanout Cap Slew Delay Time Description ----------------------------------------------------------------------------- 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 1.00 1.00 ^ input external delay 0.00 0.00 1.00 ^ externalResetVector[28] (in) 2 0.31 externalResetVector[28] (net) 0.45 0.23 1.23 ^ _122259_/A_N (sky130_fd_sc_hd__nand2b_1) 0.22 0.16 1.39 ^ _122259_/Y (sky130_fd_sc_hd__nand2b_1) 1 0.00 _000069_ (net) 0.22 0.00 1.39 ^ _145485_/RESET_B (sky130_fd_sc_hd__dfbbp_1) 1.39 data arrival time 0.00 50.00 50.00 clock clk (rise edge) 0.00 50.00 clock network delay (ideal) 0.00 50.00 clock reconvergence pessimism 50.00 ^ _145485_/CLK (sky130_fd_sc_hd__dfbbp_1) -0.12 49.88 library recovery time 49.88 data required time ----------------------------------------------------------------------------- 49.88 data required time -1.39 data arrival time ----------------------------------------------------------------------------- 48.49 slack (MET) Startpoint: _143572_ (rising edge-triggered flip-flop clocked by clk) Endpoint: _135902_ (rising edge-triggered flip-flop clocked by clk) Path Group: clk Path Type: max Fanout Cap Slew Delay Time Description ----------------------------------------------------------------------------- 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 0.00 0.00 0.00 ^ _143572_/CLK (sky130_fd_sc_hd__dfxtp_1) 0.23 0.42 0.42 ^ _143572_/Q (sky130_fd_sc_hd__dfxtp_1) 5 0.02 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[28] (net) 0.23 0.00 0.42 ^ _061278_/B (sky130_fd_sc_hd__xor2_1) 0.44 0.41 0.83 ^ _061278_/X (sky130_fd_sc_hd__xor2_1) 1 0.02 _025141_ (net) 0.44 0.00 0.84 ^ _061280_/B (sky130_fd_sc_hd__nor3_1) 0.22 0.28 1.12 v _061280_/Y (sky130_fd_sc_hd__nor3_1) 4 0.04 _025143_ (net) 0.22 0.00 1.12 v _061286_/A (sky130_fd_sc_hd__and2_0) 0.14 0.29 1.41 v _061286_/X (sky130_fd_sc_hd__and2_0) 4 0.02 _025149_ (net) 0.14 0.00 1.41 v _077118_/A1 (sky130_fd_sc_hd__a21oi_2) 18.63 13.41 14.82 ^ _077118_/Y (sky130_fd_sc_hd__a21oi_2) 117 1.63 _029150_ (net) 18.63 0.18 15.00 ^ _079640_/A1 (sky130_fd_sc_hd__o21a_1) 305.83 220.53 235.53 ^ _079640_/X (sky130_fd_sc_hd__o21a_1) 5371 35.58 _029825_ (net) 306.11 7.98 243.51 ^ _079726_/B (sky130_fd_sc_hd__nor2_1) 965.31 2851.46 3094.98 v _079726_/Y (sky130_fd_sc_hd__nor2_1) 363 3.64 _029911_ (net) 965.31 0.18 3095.15 v _108782_/A1 (sky130_fd_sc_hd__a32oi_1) 121.72 289.01 3384.17 ^ _108782_/Y (sky130_fd_sc_hd__a32oi_1) 1 0.01 _058946_ (net) 121.72 0.00 3384.17 ^ _108786_/A3 (sky130_fd_sc_hd__a31oi_1) 19.44 23.93 3408.09 v _108786_/Y (sky130_fd_sc_hd__a31oi_1) 1 0.05 _058950_ (net) 19.44 0.01 3408.10 v _108787_/A2 (sky130_fd_sc_hd__o21ai_0) 3.23 5.20 3413.31 ^ _108787_/Y (sky130_fd_sc_hd__o21ai_0) 1 0.00 _058951_ (net) 3.23 0.00 3413.31 ^ _108788_/D (sky130_fd_sc_hd__nor4_1) 1.88 1.85 3415.16 v _108788_/Y (sky130_fd_sc_hd__nor4_1) 1 0.11 _058952_ (net) 1.88 0.04 3415.19 v _108789_/B1 (sky130_fd_sc_hd__a211oi_1) 1.50 1.86 3417.05 ^ _108789_/Y (sky130_fd_sc_hd__a211oi_1) 1 0.05 _058953_ (net) 1.50 0.01 3417.06 ^ _109710_/A2 (sky130_fd_sc_hd__a31o_1) 0.07 0.26 3417.32 ^ _109710_/X (sky130_fd_sc_hd__a31o_1) 1 0.00 _013496_ (net) 0.07 0.00 3417.32 ^ _135902_/D (sky130_fd_sc_hd__dfxtp_1) 3417.32 data arrival time 0.00 50.00 50.00 clock clk (rise edge) 0.00 50.00 clock network delay (ideal) 0.00 50.00 clock reconvergence pessimism 50.00 ^ _135902_/CLK (sky130_fd_sc_hd__dfxtp_1) -0.07 49.93 library setup time 49.93 data required time ----------------------------------------------------------------------------- 49.93 data required time -3417.32 data arrival time ----------------------------------------------------------------------------- -3367.39 slack (VIOLATED) ========================================================================== resizer pre report_checks -unconstrained -------------------------------------------------------------------------- Startpoint: externalResetVector[28] (input port clocked by clk) Endpoint: _145485_ (recovery check against rising-edge clock clk) Path Group: **async_default** Path Type: max Fanout Cap Slew Delay Time Description ----------------------------------------------------------------------------- 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 1.00 1.00 ^ input external delay 0.00 0.00 1.00 ^ externalResetVector[28] (in) 2 0.31 externalResetVector[28] (net) 0.45 0.23 1.23 ^ _122259_/A_N (sky130_fd_sc_hd__nand2b_1) 0.22 0.16 1.39 ^ _122259_/Y (sky130_fd_sc_hd__nand2b_1) 1 0.00 _000069_ (net) 0.22 0.00 1.39 ^ _145485_/RESET_B (sky130_fd_sc_hd__dfbbp_1) 1.39 data arrival time 0.00 50.00 50.00 clock clk (rise edge) 0.00 50.00 clock network delay (ideal) 0.00 50.00 clock reconvergence pessimism 50.00 ^ _145485_/CLK (sky130_fd_sc_hd__dfbbp_1) -0.12 49.88 library recovery time 49.88 data required time ----------------------------------------------------------------------------- 49.88 data required time -1.39 data arrival time ----------------------------------------------------------------------------- 48.49 slack (MET) Startpoint: _143572_ (rising edge-triggered flip-flop clocked by clk) Endpoint: _135902_ (rising edge-triggered flip-flop clocked by clk) Path Group: clk Path Type: max Fanout Cap Slew Delay Time Description ----------------------------------------------------------------------------- 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 0.00 0.00 0.00 ^ _143572_/CLK (sky130_fd_sc_hd__dfxtp_1) 0.23 0.42 0.42 ^ _143572_/Q (sky130_fd_sc_hd__dfxtp_1) 5 0.02 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[28] (net) 0.23 0.00 0.42 ^ _061278_/B (sky130_fd_sc_hd__xor2_1) 0.44 0.41 0.83 ^ _061278_/X (sky130_fd_sc_hd__xor2_1) 1 0.02 _025141_ (net) 0.44 0.00 0.84 ^ _061280_/B (sky130_fd_sc_hd__nor3_1) 0.22 0.28 1.12 v _061280_/Y (sky130_fd_sc_hd__nor3_1) 4 0.04 _025143_ (net) 0.22 0.00 1.12 v _061286_/A (sky130_fd_sc_hd__and2_0) 0.14 0.29 1.41 v _061286_/X (sky130_fd_sc_hd__and2_0) 4 0.02 _025149_ (net) 0.14 0.00 1.41 v _077118_/A1 (sky130_fd_sc_hd__a21oi_2) 18.63 13.41 14.82 ^ _077118_/Y (sky130_fd_sc_hd__a21oi_2) 117 1.63 _029150_ (net) 18.63 0.18 15.00 ^ _079640_/A1 (sky130_fd_sc_hd__o21a_1) 305.83 220.53 235.53 ^ _079640_/X (sky130_fd_sc_hd__o21a_1) 5371 35.58 _029825_ (net) 306.11 7.98 243.51 ^ _079726_/B (sky130_fd_sc_hd__nor2_1) 965.31 2851.46 3094.98 v _079726_/Y (sky130_fd_sc_hd__nor2_1) 363 3.64 _029911_ (net) 965.31 0.18 3095.15 v _108782_/A1 (sky130_fd_sc_hd__a32oi_1) 121.72 289.01 3384.17 ^ _108782_/Y (sky130_fd_sc_hd__a32oi_1) 1 0.01 _058946_ (net) 121.72 0.00 3384.17 ^ _108786_/A3 (sky130_fd_sc_hd__a31oi_1) 19.44 23.93 3408.09 v _108786_/Y (sky130_fd_sc_hd__a31oi_1) 1 0.05 _058950_ (net) 19.44 0.01 3408.10 v _108787_/A2 (sky130_fd_sc_hd__o21ai_0) 3.23 5.20 3413.31 ^ _108787_/Y (sky130_fd_sc_hd__o21ai_0) 1 0.00 _058951_ (net) 3.23 0.00 3413.31 ^ _108788_/D (sky130_fd_sc_hd__nor4_1) 1.88 1.85 3415.16 v _108788_/Y (sky130_fd_sc_hd__nor4_1) 1 0.11 _058952_ (net) 1.88 0.04 3415.19 v _108789_/B1 (sky130_fd_sc_hd__a211oi_1) 1.50 1.86 3417.05 ^ _108789_/Y (sky130_fd_sc_hd__a211oi_1) 1 0.05 _058953_ (net) 1.50 0.01 3417.06 ^ _109710_/A2 (sky130_fd_sc_hd__a31o_1) 0.07 0.26 3417.32 ^ _109710_/X (sky130_fd_sc_hd__a31o_1) 1 0.00 _013496_ (net) 0.07 0.00 3417.32 ^ _135902_/D (sky130_fd_sc_hd__dfxtp_1) 3417.32 data arrival time 0.00 50.00 50.00 clock clk (rise edge) 0.00 50.00 clock network delay (ideal) 0.00 50.00 clock reconvergence pessimism 50.00 ^ _135902_/CLK (sky130_fd_sc_hd__dfxtp_1) -0.07 49.93 library setup time 49.93 data required time ----------------------------------------------------------------------------- 49.93 data required time -3417.32 data arrival time ----------------------------------------------------------------------------- -3367.39 slack (VIOLATED) ========================================================================== resizer pre report_tns -------------------------------------------------------------------------- tns -131239.14 ========================================================================== resizer pre report_wns -------------------------------------------------------------------------- wns -3367.39 ========================================================================== resizer pre report_worst_slack -------------------------------------------------------------------------- worst slack -3367.39 ========================================================================== resizer pre report_clock_skew -------------------------------------------------------------------------- Clock clk Latency CRPR Skew _145458_/CLK ^ 0.00 _143514_/CLK ^ 0.00 0.00 0.00 ========================================================================== resizer pre report_power -------------------------------------------------------------------------- Group Internal Switching Leakage Total Power Power Power Power ---------------------------------------------------------------- Sequential 2.01e-02 1.01e-03 2.04e-07 2.11e-02 39.0% Combinational 2.97e-02 3.37e-03 1.65e-07 3.30e-02 61.1% Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ---------------------------------------------------------------- Total 4.97e-02 4.38e-03 3.69e-07 5.41e-02 100.0% 91.9% 8.1% 0.0% ========================================================================== resizer pre report_design_area -------------------------------------------------------------------------- Design area 1274500 u^2 6% utilization. ========================================================================== instance_count -------------------------------------------------------------------------- 344463 ========================================================================== pin_count -------------------------------------------------------------------------- 286458 Perform port buffering... [INFO RSZ-0027] Inserted 33 input buffers. [INFO RSZ-0028] Inserted 67 output buffers. Perform buffer insertion... [INFO RSZ-0058] Using max wire length 2431um. [INFO RSZ-0034] Found 28740 slew violations. [INFO RSZ-0036] Found 182 capacitance violations. [INFO RSZ-0037] Found 24 long wires. [INFO RSZ-0038] Inserted 2436 buffers in 28744 nets. [INFO RSZ-0039] Resized 30817 instances. Repair tie lo fanout... [INFO RSZ-0042] Inserted 310 tie sky130_fd_sc_hd__conb_1 instances. Repair tie hi fanout... [INFO RSZ-0042] Inserted 18 tie sky130_fd_sc_hd__conb_1 instances. ========================================================================== report_floating_nets -------------------------------------------------------------------------- ========================================================================== resizer report_checks -path_delay min -------------------------------------------------------------------------- Startpoint: externalResetVector[8] (input port clocked by clk) Endpoint: _145465_ (removal check against rising-edge clock clk) Path Group: **async_default** Path Type: min Fanout Cap Slew Delay Time Description ----------------------------------------------------------------------------- 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 1.00 1.00 v input external delay 0.00 0.00 1.00 v externalResetVector[8] (in) 1 0.04 externalResetVector[8] (net) 0.01 0.00 1.00 v input30/A (sky130_fd_sc_hd__buf_16) 0.11 0.17 1.18 v input30/X (sky130_fd_sc_hd__buf_16) 2 0.28 net30 (net) 0.40 0.20 1.37 v _122272_/B (sky130_fd_sc_hd__nand2_1) 0.11 0.19 1.56 ^ _122272_/Y (sky130_fd_sc_hd__nand2_1) 1 0.00 _000030_ (net) 0.11 0.00 1.56 ^ _145465_/SET_B (sky130_fd_sc_hd__dfbbp_1) 1.56 data arrival time 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 0.00 0.00 clock reconvergence pessimism 0.00 ^ _145465_/CLK (sky130_fd_sc_hd__dfbbp_1) 0.10 0.10 library removal time 0.10 data required time ----------------------------------------------------------------------------- 0.10 data required time -1.56 data arrival time ----------------------------------------------------------------------------- 1.46 slack (MET) Startpoint: _144896_ (rising edge-triggered flip-flop clocked by clk) Endpoint: _122427_ (rising edge-triggered flip-flop clocked by clk) Path Group: clk Path Type: min Fanout Cap Slew Delay Time Description ----------------------------------------------------------------------------- 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 0.00 0.00 0.00 ^ _144896_/CLK (sky130_fd_sc_hd__dfxtp_1) 0.03 0.28 0.28 v _144896_/Q (sky130_fd_sc_hd__dfxtp_1) 2 0.00 dataCache_1_.io_mem_cmd_payload_data[8] (net) 0.03 0.00 0.28 v _122427_/D (sky130_fd_sc_hd__dfxtp_4) 0.28 data arrival time 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 0.00 0.00 clock reconvergence pessimism 0.00 ^ _122427_/CLK (sky130_fd_sc_hd__dfxtp_4) -0.05 -0.05 library hold time -0.05 data required time ----------------------------------------------------------------------------- -0.05 data required time -0.28 data arrival time ----------------------------------------------------------------------------- 0.33 slack (MET) ========================================================================== resizer report_checks -path_delay max -------------------------------------------------------------------------- Startpoint: externalResetVector[28] (input port clocked by clk) Endpoint: _145485_ (recovery check against rising-edge clock clk) Path Group: **async_default** Path Type: max Fanout Cap Slew Delay Time Description ----------------------------------------------------------------------------- 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 1.00 1.00 ^ input external delay 0.00 0.00 1.00 ^ externalResetVector[28] (in) 1 0.04 externalResetVector[28] (net) 0.01 0.00 1.00 ^ input20/A (sky130_fd_sc_hd__buf_16) 0.23 0.21 1.21 ^ input20/X (sky130_fd_sc_hd__buf_16) 2 0.28 net20 (net) 0.51 0.23 1.44 ^ _122259_/A_N (sky130_fd_sc_hd__nand2b_1) 0.12 0.17 1.60 ^ _122259_/Y (sky130_fd_sc_hd__nand2b_1) 1 0.00 _000069_ (net) 0.12 0.00 1.60 ^ _145485_/RESET_B (sky130_fd_sc_hd__dfbbp_1) 1.60 data arrival time 0.00 50.00 50.00 clock clk (rise edge) 0.00 50.00 clock network delay (ideal) 0.00 50.00 clock reconvergence pessimism 50.00 ^ _145485_/CLK (sky130_fd_sc_hd__dfbbp_1) -0.09 49.91 library recovery time 49.91 data required time ----------------------------------------------------------------------------- 49.91 data required time -1.60 data arrival time ----------------------------------------------------------------------------- 48.30 slack (MET) Startpoint: _143571_ (rising edge-triggered flip-flop clocked by clk) Endpoint: _135885_ (rising edge-triggered flip-flop clocked by clk) Path Group: clk Path Type: max Fanout Cap Slew Delay Time Description ----------------------------------------------------------------------------- 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 0.00 0.00 0.00 ^ _143571_/CLK (sky130_fd_sc_hd__dfxtp_1) 0.09 0.33 0.33 v _143571_/Q (sky130_fd_sc_hd__dfxtp_1) 4 0.02 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[27] (net) 0.09 0.00 0.33 v _061287_/B (sky130_fd_sc_hd__xnor2_1) 0.29 0.28 0.61 ^ _061287_/Y (sky130_fd_sc_hd__xnor2_1) 1 0.01 _025150_ (net) 0.29 0.00 0.61 ^ _061291_/A (sky130_fd_sc_hd__nand4_4) 0.20 0.22 0.83 v _061291_/Y (sky130_fd_sc_hd__nand4_4) 2 0.04 _025154_ (net) 0.20 0.00 0.83 v _077125_/A (sky130_fd_sc_hd__or4_4) 0.18 0.72 1.55 v _077125_/X (sky130_fd_sc_hd__or4_4) 6 0.07 _029157_ (net) 0.18 0.01 1.56 v _079639_/A1 (sky130_fd_sc_hd__a211oi_4) 1.04 0.92 2.48 ^ _079639_/Y (sky130_fd_sc_hd__a211oi_4) 4 0.10 _029824_ (net) 1.04 0.00 2.49 ^ repeater2044/A (sky130_fd_sc_hd__buf_8) 0.16 0.27 2.76 ^ repeater2044/X (sky130_fd_sc_hd__buf_8) 5 0.09 net2044 (net) 0.17 0.02 2.78 ^ _079640_/B1 (sky130_fd_sc_hd__o21a_4) 0.39 0.41 3.19 ^ _079640_/X (sky130_fd_sc_hd__o21a_4) 15 0.13 _029825_ (net) 0.39 0.00 3.19 ^ repeater1732/A (sky130_fd_sc_hd__buf_16) 0.27 0.31 3.50 ^ repeater1732/X (sky130_fd_sc_hd__buf_16) 36 0.25 net1732 (net) 0.28 0.04 3.54 ^ repeater1724/A (sky130_fd_sc_hd__buf_16) 0.31 0.33 3.86 ^ repeater1724/X (sky130_fd_sc_hd__buf_16) 43 0.30 net1724 (net) 0.31 0.01 3.88 ^ repeater1723/A (sky130_fd_sc_hd__buf_16) 0.30 0.32 4.20 ^ repeater1723/X (sky130_fd_sc_hd__buf_16) 42 0.29 net1723 (net) 0.31 0.03 4.23 ^ repeater1721/A (sky130_fd_sc_hd__buf_16) 0.27 0.30 4.53 ^ repeater1721/X (sky130_fd_sc_hd__buf_16) 40 0.26 net1721 (net) 0.28 0.03 4.57 ^ repeater1720/A (sky130_fd_sc_hd__buf_16) 0.29 0.30 4.86 ^ repeater1720/X (sky130_fd_sc_hd__buf_16) 28 0.27 net1720 (net) 0.31 0.06 4.92 ^ repeater1717/A (sky130_fd_sc_hd__buf_16) 0.30 0.34 5.26 ^ repeater1717/X (sky130_fd_sc_hd__buf_16) 43 0.29 net1717 (net) 0.30 0.01 5.27 ^ repeater1716/A (sky130_fd_sc_hd__buf_12) 0.24 0.30 5.57 ^ repeater1716/X (sky130_fd_sc_hd__buf_12) 35 0.21 net1716 (net) 0.24 0.01 5.58 ^ repeater1715/A (sky130_fd_sc_hd__buf_16) 0.29 0.30 5.88 ^ repeater1715/X (sky130_fd_sc_hd__buf_16) 40 0.28 net1715 (net) 0.30 0.04 5.92 ^ repeater1714/A (sky130_fd_sc_hd__buf_16) 0.31 0.33 6.25 ^ repeater1714/X (sky130_fd_sc_hd__buf_16) 42 0.29 net1714 (net) 0.31 0.03 6.28 ^ _079708_/B (sky130_fd_sc_hd__nor2_8) 0.12 0.14 6.42 v _079708_/Y (sky130_fd_sc_hd__nor2_8) 11 0.10 _029893_ (net) 0.12 0.01 6.43 v repeater643/A (sky130_fd_sc_hd__buf_12) 0.11 0.21 6.64 v repeater643/X (sky130_fd_sc_hd__buf_12) 26 0.21 net643 (net) 0.12 0.02 6.66 v repeater642/A (sky130_fd_sc_hd__buf_12) 0.11 0.19 6.85 v repeater642/X (sky130_fd_sc_hd__buf_12) 20 0.20 net642 (net) 0.12 0.03 6.88 v repeater641/A (sky130_fd_sc_hd__buf_12) 0.11 0.21 7.09 v repeater641/X (sky130_fd_sc_hd__buf_12) 25 0.23 net641 (net) 0.17 0.06 7.15 v repeater640/A (sky130_fd_sc_hd__buf_12) 0.10 0.23 7.38 v repeater640/X (sky130_fd_sc_hd__buf_12) 19 0.17 net640 (net) 0.10 0.02 7.40 v repeater639/A (sky130_fd_sc_hd__buf_12) 0.12 0.19 7.58 v repeater639/X (sky130_fd_sc_hd__buf_12) 18 0.23 net639 (net) 0.20 0.08 7.67 v _088661_/A (sky130_fd_sc_hd__nand3_1) 0.08 0.13 7.79 ^ _088661_/Y (sky130_fd_sc_hd__nand3_1) 1 0.00 _038842_ (net) 0.08 0.00 7.79 ^ _088668_/A2 (sky130_fd_sc_hd__a31oi_1) 0.09 0.10 7.90 v _088668_/Y (sky130_fd_sc_hd__a31oi_1) 1 0.01 _038849_ (net) 0.09 0.00 7.90 v _088696_/A2 (sky130_fd_sc_hd__o2111a_4) 0.11 0.38 8.27 v _088696_/X (sky130_fd_sc_hd__o2111a_4) 1 0.06 _038877_ (net) 0.12 0.01 8.28 v _088697_/A2 (sky130_fd_sc_hd__o21ai_4) 0.88 0.69 8.97 ^ _088697_/Y (sky130_fd_sc_hd__o21ai_4) 1 0.13 _038878_ (net) 0.88 0.06 9.03 ^ _088698_/C1 (sky130_fd_sc_hd__o311ai_4) 0.52 0.58 9.61 v _088698_/Y (sky130_fd_sc_hd__o311ai_4) 1 0.11 _038879_ (net) 0.52 0.04 9.65 v _088776_/C1 (sky130_fd_sc_hd__a2111oi_4) 0.71 0.80 10.45 ^ _088776_/Y (sky130_fd_sc_hd__a2111oi_4) 1 0.04 _038957_ (net) 0.71 0.01 10.45 ^ _089069_/B1 (sky130_fd_sc_hd__a311o_4) 0.21 0.34 10.79 ^ _089069_/X (sky130_fd_sc_hd__a311o_4) 1 0.06 _013479_ (net) 0.21 0.01 10.80 ^ _135885_/D (sky130_fd_sc_hd__dfxtp_1) 10.80 data arrival time 0.00 50.00 50.00 clock clk (rise edge) 0.00 50.00 clock network delay (ideal) 0.00 50.00 clock reconvergence pessimism 50.00 ^ _135885_/CLK (sky130_fd_sc_hd__dfxtp_1) -0.10 49.90 library setup time 49.90 data required time ----------------------------------------------------------------------------- 49.90 data required time -10.80 data arrival time ----------------------------------------------------------------------------- 39.10 slack (MET) ========================================================================== resizer report_checks -unconstrained -------------------------------------------------------------------------- Startpoint: externalResetVector[28] (input port clocked by clk) Endpoint: _145485_ (recovery check against rising-edge clock clk) Path Group: **async_default** Path Type: max Fanout Cap Slew Delay Time Description ----------------------------------------------------------------------------- 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 1.00 1.00 ^ input external delay 0.00 0.00 1.00 ^ externalResetVector[28] (in) 1 0.04 externalResetVector[28] (net) 0.01 0.00 1.00 ^ input20/A (sky130_fd_sc_hd__buf_16) 0.23 0.21 1.21 ^ input20/X (sky130_fd_sc_hd__buf_16) 2 0.28 net20 (net) 0.51 0.23 1.44 ^ _122259_/A_N (sky130_fd_sc_hd__nand2b_1) 0.12 0.17 1.60 ^ _122259_/Y (sky130_fd_sc_hd__nand2b_1) 1 0.00 _000069_ (net) 0.12 0.00 1.60 ^ _145485_/RESET_B (sky130_fd_sc_hd__dfbbp_1) 1.60 data arrival time 0.00 50.00 50.00 clock clk (rise edge) 0.00 50.00 clock network delay (ideal) 0.00 50.00 clock reconvergence pessimism 50.00 ^ _145485_/CLK (sky130_fd_sc_hd__dfbbp_1) -0.09 49.91 library recovery time 49.91 data required time ----------------------------------------------------------------------------- 49.91 data required time -1.60 data arrival time ----------------------------------------------------------------------------- 48.30 slack (MET) Startpoint: _143571_ (rising edge-triggered flip-flop clocked by clk) Endpoint: _135885_ (rising edge-triggered flip-flop clocked by clk) Path Group: clk Path Type: max Fanout Cap Slew Delay Time Description ----------------------------------------------------------------------------- 0.00 0.00 0.00 clock clk (rise edge) 0.00 0.00 clock network delay (ideal) 0.00 0.00 0.00 ^ _143571_/CLK (sky130_fd_sc_hd__dfxtp_1) 0.09 0.33 0.33 v _143571_/Q (sky130_fd_sc_hd__dfxtp_1) 4 0.02 IBusCachedPlugin_cache.decodeStage_mmuRsp_physicalAddress[27] (net) 0.09 0.00 0.33 v _061287_/B (sky130_fd_sc_hd__xnor2_1) 0.29 0.28 0.61 ^ _061287_/Y (sky130_fd_sc_hd__xnor2_1) 1 0.01 _025150_ (net) 0.29 0.00 0.61 ^ _061291_/A (sky130_fd_sc_hd__nand4_4) 0.20 0.22 0.83 v _061291_/Y (sky130_fd_sc_hd__nand4_4) 2 0.04 _025154_ (net) 0.20 0.00 0.83 v _077125_/A (sky130_fd_sc_hd__or4_4) 0.18 0.72 1.55 v _077125_/X (sky130_fd_sc_hd__or4_4) 6 0.07 _029157_ (net) 0.18 0.01 1.56 v _079639_/A1 (sky130_fd_sc_hd__a211oi_4) 1.04 0.92 2.48 ^ _079639_/Y (sky130_fd_sc_hd__a211oi_4) 4 0.10 _029824_ (net) 1.04 0.00 2.49 ^ repeater2044/A (sky130_fd_sc_hd__buf_8) 0.16 0.27 2.76 ^ repeater2044/X (sky130_fd_sc_hd__buf_8) 5 0.09 net2044 (net) 0.17 0.02 2.78 ^ _079640_/B1 (sky130_fd_sc_hd__o21a_4) 0.39 0.41 3.19 ^ _079640_/X (sky130_fd_sc_hd__o21a_4) 15 0.13 _029825_ (net) 0.39 0.00 3.19 ^ repeater1732/A (sky130_fd_sc_hd__buf_16) 0.27 0.31 3.50 ^ repeater1732/X (sky130_fd_sc_hd__buf_16) 36 0.25 net1732 (net) 0.28 0.04 3.54 ^ repeater1724/A (sky130_fd_sc_hd__buf_16) 0.31 0.33 3.86 ^ repeater1724/X (sky130_fd_sc_hd__buf_16) 43 0.30 net1724 (net) 0.31 0.01 3.88 ^ repeater1723/A (sky130_fd_sc_hd__buf_16) 0.30 0.32 4.20 ^ repeater1723/X (sky130_fd_sc_hd__buf_16) 42 0.29 net1723 (net) 0.31 0.03 4.23 ^ repeater1721/A (sky130_fd_sc_hd__buf_16) 0.27 0.30 4.53 ^ repeater1721/X (sky130_fd_sc_hd__buf_16) 40 0.26 net1721 (net) 0.28 0.03 4.57 ^ repeater1720/A (sky130_fd_sc_hd__buf_16) 0.29 0.30 4.86 ^ repeater1720/X (sky130_fd_sc_hd__buf_16) 28 0.27 net1720 (net) 0.31 0.06 4.92 ^ repeater1717/A (sky130_fd_sc_hd__buf_16) 0.30 0.34 5.26 ^ repeater1717/X (sky130_fd_sc_hd__buf_16) 43 0.29 net1717 (net) 0.30 0.01 5.27 ^ repeater1716/A (sky130_fd_sc_hd__buf_12) 0.24 0.30 5.57 ^ repeater1716/X (sky130_fd_sc_hd__buf_12) 35 0.21 net1716 (net) 0.24 0.01 5.58 ^ repeater1715/A (sky130_fd_sc_hd__buf_16) 0.29 0.30 5.88 ^ repeater1715/X (sky130_fd_sc_hd__buf_16) 40 0.28 net1715 (net) 0.30 0.04 5.92 ^ repeater1714/A (sky130_fd_sc_hd__buf_16) 0.31 0.33 6.25 ^ repeater1714/X (sky130_fd_sc_hd__buf_16) 42 0.29 net1714 (net) 0.31 0.03 6.28 ^ _079708_/B (sky130_fd_sc_hd__nor2_8) 0.12 0.14 6.42 v _079708_/Y (sky130_fd_sc_hd__nor2_8) 11 0.10 _029893_ (net) 0.12 0.01 6.43 v repeater643/A (sky130_fd_sc_hd__buf_12) 0.11 0.21 6.64 v repeater643/X (sky130_fd_sc_hd__buf_12) 26 0.21 net643 (net) 0.12 0.02 6.66 v repeater642/A (sky130_fd_sc_hd__buf_12) 0.11 0.19 6.85 v repeater642/X (sky130_fd_sc_hd__buf_12) 20 0.20 net642 (net) 0.12 0.03 6.88 v repeater641/A (sky130_fd_sc_hd__buf_12) 0.11 0.21 7.09 v repeater641/X (sky130_fd_sc_hd__buf_12) 25 0.23 net641 (net) 0.17 0.06 7.15 v repeater640/A (sky130_fd_sc_hd__buf_12) 0.10 0.23 7.38 v repeater640/X (sky130_fd_sc_hd__buf_12) 19 0.17 net640 (net) 0.10 0.02 7.40 v repeater639/A (sky130_fd_sc_hd__buf_12) 0.12 0.19 7.58 v repeater639/X (sky130_fd_sc_hd__buf_12) 18 0.23 net639 (net) 0.20 0.08 7.67 v _088661_/A (sky130_fd_sc_hd__nand3_1) 0.08 0.13 7.79 ^ _088661_/Y (sky130_fd_sc_hd__nand3_1) 1 0.00 _038842_ (net) 0.08 0.00 7.79 ^ _088668_/A2 (sky130_fd_sc_hd__a31oi_1) 0.09 0.10 7.90 v _088668_/Y (sky130_fd_sc_hd__a31oi_1) 1 0.01 _038849_ (net) 0.09 0.00 7.90 v _088696_/A2 (sky130_fd_sc_hd__o2111a_4) 0.11 0.38 8.27 v _088696_/X (sky130_fd_sc_hd__o2111a_4) 1 0.06 _038877_ (net) 0.12 0.01 8.28 v _088697_/A2 (sky130_fd_sc_hd__o21ai_4) 0.88 0.69 8.97 ^ _088697_/Y (sky130_fd_sc_hd__o21ai_4) 1 0.13 _038878_ (net) 0.88 0.06 9.03 ^ _088698_/C1 (sky130_fd_sc_hd__o311ai_4) 0.52 0.58 9.61 v _088698_/Y (sky130_fd_sc_hd__o311ai_4) 1 0.11 _038879_ (net) 0.52 0.04 9.65 v _088776_/C1 (sky130_fd_sc_hd__a2111oi_4) 0.71 0.80 10.45 ^ _088776_/Y (sky130_fd_sc_hd__a2111oi_4) 1 0.04 _038957_ (net) 0.71 0.01 10.45 ^ _089069_/B1 (sky130_fd_sc_hd__a311o_4) 0.21 0.34 10.79 ^ _089069_/X (sky130_fd_sc_hd__a311o_4) 1 0.06 _013479_ (net) 0.21 0.01 10.80 ^ _135885_/D (sky130_fd_sc_hd__dfxtp_1) 10.80 data arrival time 0.00 50.00 50.00 clock clk (rise edge) 0.00 50.00 clock network delay (ideal) 0.00 50.00 clock reconvergence pessimism 50.00 ^ _135885_/CLK (sky130_fd_sc_hd__dfxtp_1) -0.10 49.90 library setup time 49.90 data required time ----------------------------------------------------------------------------- 49.90 data required time -10.80 data arrival time ----------------------------------------------------------------------------- 39.10 slack (MET) ========================================================================== resizer report_check_types -max_slew -max_cap -max_fanout -violators -------------------------------------------------------------------------- max capacitance Pin Limit Cap Slack ------------------------------------------------------------ RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED) ========================================================================== resizer max_slew_violation_count -------------------------------------------------------------------------- max slew violation count 0 ========================================================================== resizer max_fanout_violation_count -------------------------------------------------------------------------- max fanout violation count 0 ========================================================================== resizer max_cap_violation_count -------------------------------------------------------------------------- max cap violation count 992 ========================================================================== resizer report_tns -------------------------------------------------------------------------- tns -0.07 ========================================================================== resizer report_wns -------------------------------------------------------------------------- wns 0.00 ========================================================================== resizer report_worst_slack -------------------------------------------------------------------------- worst slack 39.10 ========================================================================== resizer report_clock_skew -------------------------------------------------------------------------- Clock clk Latency CRPR Skew _145458_/CLK ^ 0.00 _143514_/CLK ^ 0.00 0.00 0.00 ========================================================================== resizer report_power -------------------------------------------------------------------------- Group Internal Switching Leakage Total Power Power Power Power ---------------------------------------------------------------- Sequential 2.00e-02 5.62e-04 2.04e-07 2.06e-02 76.4% Combinational 2.65e-03 3.69e-03 2.24e-07 6.34e-03 23.6% Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0% ---------------------------------------------------------------- Total 2.27e-02 4.25e-03 4.28e-07 2.69e-02 100.0% 84.2% 15.8% 0.0% ========================================================================== resizer report_design_area -------------------------------------------------------------------------- Design area 1420843 u^2 7% utilization. ========================================================================== instance_count -------------------------------------------------------------------------- 347321 ========================================================================== pin_count -------------------------------------------------------------------------- 292174 Elapsed time: 1:09.56[h:]min:sec. CPU time: user 68.76 sys 0.71 (99%). Peak memory: 1294924KB.