You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

5 lines
61 B
Verilog

module BUFG (output O, input I);
assign O = I;
endmodule