You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

11 lines
100 B
Verilog

module IDELAYCTRL #(
)(
output RDY,
input REFCLK,
input RST
);
assign RDY = !RST;
endmodule