diff --git a/cr_file.vhdl b/cr_file.vhdl index fa56dd9..d8ce230 100644 --- a/cr_file.vhdl +++ b/cr_file.vhdl @@ -43,8 +43,8 @@ begin if rising_edge(clk) then if w_in.write_cr_enable = '1' then report "Writing " & to_hstring(w_in.write_cr_data) & " to CR mask " & to_hstring(w_in.write_cr_mask); + crs <= crs_updated; end if; - crs <= crs_updated; end if; end process;