From 1c05f330c6efd58c9fee4fe03b5e75c30038db08 Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Wed, 11 Dec 2019 12:02:06 +1100 Subject: [PATCH] control: Fix build issue with Fedora 31 version of GHDL I'm hitting an issue with the Fedora 31 version of GHDL that appears to be fixed upstream: control.vhdl:105:39:error: actual expression must be globally static Add a signal to get rid of error. Signed-off-by: Anton Blanchard --- control.vhdl | 6 +++++- 1 file changed, 5 insertions(+), 1 deletion(-) diff --git a/control.vhdl b/control.vhdl index fed5618..ead3c1f 100644 --- a/control.vhdl +++ b/control.vhdl @@ -58,6 +58,8 @@ architecture rtl of control is signal gpr_write_valid : std_ulogic := '0'; signal cr_write_valid : std_ulogic := '0'; + + signal gpr_c_read_in_fmt : std_ulogic_vector(5 downto 0); begin gpr_hazard0: entity work.gpr_hazard generic map ( @@ -91,6 +93,8 @@ begin stall_out => stall_b_out ); + gpr_c_read_in_fmt <= "0" & gpr_c_read_in; + gpr_hazard2: entity work.gpr_hazard generic map ( PIPELINE_DEPTH => PIPELINE_DEPTH @@ -102,7 +106,7 @@ begin gpr_write_valid_in => gpr_write_valid, gpr_write_in => gpr_write_in, gpr_read_valid_in => gpr_c_read_valid_in, - gpr_read_in => "0" & gpr_c_read_in, + gpr_read_in => gpr_c_read_in_fmt, stall_out => stall_c_out );