diff --git a/simple_ram_behavioural.vhdl b/simple_ram_behavioural.vhdl index 64135b8..d6255b8 100644 --- a/simple_ram_behavioural.vhdl +++ b/simple_ram_behavioural.vhdl @@ -11,7 +11,7 @@ entity mw_soc_memory is generic ( RAM_INIT_FILE : string; MEMORY_SIZE : integer; - PIPELINE_DEPTH : integer := 0 + PIPELINE_DEPTH : integer := 1 ); port (