diff --git a/decode2.vhdl b/decode2.vhdl index 44a130d..8651b76 100644 --- a/decode2.vhdl +++ b/decode2.vhdl @@ -40,7 +40,7 @@ architecture behaviour of decode2 is type reg_internal_type is record state : state_type; - outstanding : integer; + outstanding : integer range -1 to 2; end record; type reg_type is record