diff --git a/multiply.vhdl b/multiply.vhdl index 6f80660..71aceca 100644 --- a/multiply.vhdl +++ b/multiply.vhdl @@ -10,7 +10,7 @@ use work.crhelpers.all; entity multiply is generic ( - PIPELINE_DEPTH : natural := 2 + PIPELINE_DEPTH : natural := 16 ); port ( clk : in std_logic;