diff --git a/multiply.vhdl b/multiply.vhdl index 4d9637f..9aa4316 100644 --- a/multiply.vhdl +++ b/multiply.vhdl @@ -10,7 +10,7 @@ use work.crhelpers.all; entity multiply is generic ( - PIPELINE_DEPTH : natural := 6 + PIPELINE_DEPTH : natural := 2 ); port ( clk : in std_logic;