diff --git a/wishbone_types.vhdl b/wishbone_types.vhdl index 12f0bc7..1b8a28b 100644 --- a/wishbone_types.vhdl +++ b/wishbone_types.vhdl @@ -21,9 +21,10 @@ package wishbone_types is constant wishbone_master_out_init : wishbone_master_out := (cyc => '0', stb => '0', we => '0', others => (others => '0')); type wishbone_slave_out is record - dat : wishbone_data_type; - ack : std_ulogic; + dat : wishbone_data_type; + ack : std_ulogic; + stall : std_ulogic; end record; - constant wishbone_slave_out_init : wishbone_slave_out := (ack => '0', others => (others => '0')); + constant wishbone_slave_out_init : wishbone_slave_out := (ack => '0', stall => '0', others => (others => '0')); end package wishbone_types;