From a8f8c54b770750cf0978e2348996a46650dd3c5a Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Tue, 10 Sep 2019 15:02:18 +1000 Subject: [PATCH] Move debug execute output into decode2 This covers all units, and we avoid double printing. Signed-off-by: Anton Blanchard --- decode2.vhdl | 9 +++++++++ execute1.vhdl | 2 -- loadstore1.vhdl | 5 ----- 3 files changed, 9 insertions(+), 7 deletions(-) diff --git a/decode2.vhdl b/decode2.vhdl index 3e4b022..3aff41e 100644 --- a/decode2.vhdl +++ b/decode2.vhdl @@ -188,6 +188,15 @@ begin decode2_0: process(clk) begin if rising_edge(clk) then + if rin.e.valid = '1' then + report "execute " & to_hstring(rin.e.nia); + end if; + if rin.l.valid = '1' then + report "execute " & to_hstring(rin.e.nia); + end if; + if rin.m.valid = '1' then + report "execute " & to_hstring(rin.e.nia); + end if; r <= rin; r_int <= rin_int; end if; diff --git a/execute1.vhdl b/execute1.vhdl index d6076a9..cbb4049 100644 --- a/execute1.vhdl +++ b/execute1.vhdl @@ -79,8 +79,6 @@ begin v.e.valid := '1'; v.e.write_reg := e_in.write_reg; - report "execute " & to_hstring(e_in.nia); - case_0: case e_in.insn_type is when OP_ILLEGAL => diff --git a/loadstore1.vhdl b/loadstore1.vhdl index 20b2f9a..bf006d9 100644 --- a/loadstore1.vhdl +++ b/loadstore1.vhdl @@ -28,11 +28,6 @@ begin begin if rising_edge(clk) then l <= l_in; - - if l_in.valid = '1' then - report "execute " & to_hstring(l_in.nia); - end if; - end if; end process;