diff --git a/loadstore1.vhdl b/loadstore1.vhdl index 3956c94..20b2f9a 100644 --- a/loadstore1.vhdl +++ b/loadstore1.vhdl @@ -31,8 +31,6 @@ begin if l_in.valid = '1' then report "execute " & to_hstring(l_in.nia); - report "loadstore1 " & to_hstring(l_in.addr1) & " " & to_hstring(l_in.addr2); - end if; end if;