From f1d0382587d3771e2b9cd3caec381492e94e7ea1 Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Sat, 11 Jan 2020 17:13:23 +1100 Subject: [PATCH] Fix a ghdlsynth issue in fast_spr_num I've submitted a bug report for this, but we can work around it easily for now. Signed-off-by: Anton Blanchard --- common.vhdl | 1 + 1 file changed, 1 insertion(+) diff --git a/common.vhdl b/common.vhdl index 8e24ab9..a27f4f2 100644 --- a/common.vhdl +++ b/common.vhdl @@ -346,6 +346,7 @@ package body common is when SPR_XER => n := 12; when others => + n := 0; return "000000"; end case; return "1" & std_ulogic_vector(to_unsigned(n, 5));