From f37ef56d79bff577e90b080c7fdd8afb554e9393 Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Sat, 11 Jan 2020 14:28:20 +1100 Subject: [PATCH] Remove unused signal Signed-off-by: Anton Blanchard --- divider.vhdl | 1 - 1 file changed, 1 deletion(-) diff --git a/divider.vhdl b/divider.vhdl index 39893a8..affab85 100644 --- a/divider.vhdl +++ b/divider.vhdl @@ -22,7 +22,6 @@ architecture behaviour of divider is signal result : std_ulogic_vector(63 downto 0); signal sresult : std_ulogic_vector(64 downto 0); signal oresult : std_ulogic_vector(63 downto 0); - signal qbit : std_ulogic; signal running : std_ulogic; signal signcheck : std_ulogic; signal count : unsigned(6 downto 0);