diff --git a/core.vhdl b/core.vhdl index 5517959..4d84b4a 100644 --- a/core.vhdl +++ b/core.vhdl @@ -195,7 +195,7 @@ begin generic map( SIM => SIM, LINE_SIZE => 64, - NUM_LINES => 32, + NUM_LINES => 64, NUM_WAYS => 2 ) port map( @@ -335,7 +335,7 @@ begin dcache_0: entity work.dcache generic map( LINE_SIZE => 64, - NUM_LINES => 32, + NUM_LINES => 64, NUM_WAYS => 2 ) port map (