From 0b39947f8d9bcca1143207ecb0789c90198170d3 Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Tue, 15 Mar 2022 18:27:48 +1100 Subject: [PATCH] Remove unused sequential signal from Fetch1ToIcacheType GHDL synthesis is flagging a warning about this. Signed-off-by: Anton Blanchard --- common.vhdl | 1 - 1 file changed, 1 deletion(-) diff --git a/common.vhdl b/common.vhdl index fb60ce3..14a8801 100644 --- a/common.vhdl +++ b/common.vhdl @@ -200,7 +200,6 @@ package common is priv_mode : std_ulogic; big_endian : std_ulogic; stop_mark: std_ulogic; - sequential: std_ulogic; predicted : std_ulogic; pred_ntaken : std_ulogic; nia: std_ulogic_vector(63 downto 0);