From 0d86580ac701f3ff614ac44ca3ded1c86b304a2e Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Mon, 22 Mar 2021 10:19:27 +1100 Subject: [PATCH] Reformat writeback Signed-off-by: Anton Blanchard --- writeback.vhdl | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/writeback.vhdl b/writeback.vhdl index 65da537..516e6ba 100644 --- a/writeback.vhdl +++ b/writeback.vhdl @@ -77,10 +77,10 @@ begin writeback_1: process(all) variable v : reg_type; variable f : WritebackToFetch1Type; - variable cf: std_ulogic_vector(3 downto 0); + variable cf: std_ulogic_vector(3 downto 0); variable zero : std_ulogic; variable sign : std_ulogic; - variable scf : std_ulogic_vector(3 downto 0); + variable scf : std_ulogic_vector(3 downto 0); variable vec : integer range 0 to 16#fff#; variable srr1 : std_ulogic_vector(15 downto 0); variable intr : std_ulogic; @@ -228,7 +228,7 @@ begin f.mode_32bit := e_in.redir_mode(0); end if; - f_out <= f; + f_out <= f; flush_out <= f_out.redirect; rin <= v;