From 24a34899b40d543758cb2370c8201bba8b15f618 Mon Sep 17 00:00:00 2001 From: Joel Stanley Date: Wed, 17 Feb 2021 17:09:47 +1030 Subject: [PATCH] Add files for openocd v0.11 The protocol used by the spi bridge firmware changed as of openocd v0.11. As this is the version packaged by Debian Bullseye, add the firmware for convince. Signed-off-by: Joel Stanley --- openocd/README | 7 ++++--- openocd/bscan_spi_xc7a100t_openocd_v0.11.bit | Bin 0 -> 404986 bytes openocd/bscan_spi_xc7a200t_openocd_v0.11.bit | Bin 0 -> 953338 bytes openocd/bscan_spi_xc7a35t_openocd_v0.11.bit | Bin 0 -> 261513 bytes openocd/flash-arty | 17 ++++++++++++++--- openocd/xilinx-xc7.cfg | 4 ++-- 6 files changed, 20 insertions(+), 8 deletions(-) create mode 100644 openocd/bscan_spi_xc7a100t_openocd_v0.11.bit create mode 100644 openocd/bscan_spi_xc7a200t_openocd_v0.11.bit create mode 100644 openocd/bscan_spi_xc7a35t_openocd_v0.11.bit diff --git a/openocd/README b/openocd/README index 33295bf..15a2d86 100644 --- a/openocd/README +++ b/openocd/README @@ -23,10 +23,11 @@ Info : JTAG tap: xc7.tap tap/device found: 0x0362d093 (mfg: 0x049 (Xilinx), part Error: Unknown flash device (ID 0x00ffffff) If you find yourself with a later openocd version that contians 867bdb2e9248 -("jtagspi: new protocol that includes transfer length") you should fetch the -bitstream from the master branch: +("jtagspi: new protocol that includes transfer length"), such as v0.11 as +packaged by Debian Bullseye, you should use the bitstream from the master +branch: https://github.com/quartiq/bscan_spi_bitstreams/blob/master/bscan_spi_xc7a35t.bit?raw=true https://github.com/quartiq/bscan_spi_bitstreams/blob/master/bscan_spi_xc7a100t.bit?raw=true - +These are in the microwatt repository as bscan_spi_xc7a*t_openocd_v0.11.bit. diff --git a/openocd/bscan_spi_xc7a100t_openocd_v0.11.bit b/openocd/bscan_spi_xc7a100t_openocd_v0.11.bit new file mode 100644 index 0000000000000000000000000000000000000000..c456de32cb21c76e6f31514a10fb311cc66b39a3 GIT binary patch literal 404986 zcmeF)TdZYydJy(?zu)#{?fcB^t{x9#OW?A{#)=VPyKP56ZsWN)M&w}#OcIa+k+J-c zL{52(P21RDu+7a}`T}^c6e)@nMGAS~B~oM|0eQ#^k$8&4Q)DF%fD|;@=TucschyD-T`=;kj+{Xxj&| z2(cKk1hEvc46z)s00h%W(YS!xEadLzXdn{Hezoe_9kL)A@=rkEQ0S5e2?IJ1m7e09>MnrzDMvqg6|Q0 zkKlU*-y`@Q!S@KhNANv@?-6{D;Clq$BlsS{_Xxg6@I8X>5qyu}dj#Jj_#VOc2);+~ zJ%aBMe2?IJ1m7e09>MnrzDMvqg6|Q0kKlU*-y`@Q!S@KhNANv@?-6{D;Clq$BlsS{ z_Xxg6@I8X>5qyu}dj#Jj_#VOc2);+~J%aBMe2?IJ1m7e09>MnrzDMvqhVL0AVwhJP{qi{W1k|6=$T!@n5*#qckNe=+=v;a?2@V)z%szZm|- z@GpjcG5m|+Ukv|Z_!q;!82-iZFNS|H{EOjV4F6*I7sJ08{>AVwfq&=ED@?x6oWQ>X z{w44)fqx17OWe<}P+;a>{>Quvp`zZCwZ@GpgbDf~;}Ukd+H_?N=J6#k{~FNJ?8 z{7d0q3jb30m%_gk{-y9Qg?}mhOW|J%|5Esu!oL*$rSLC>e<}P+T(W;d>6>bNHUa_Z+_G z@I8m`IegFIdk)`o_@2Y}9KPr9J%{f(e9z%~4&QV5p2PPXzUS~ghwnLj&*6Ix-*fn$ z!}lD%=kPs;?>T(W;d>6>bNHUa_Z+_G@I8m`IegFIdk)`o_@2Y}9KILuy@2lpd@ta8 z0pAPwUcmPPz8CPlfbRu-FW`Ft-wXI&!1n^a7x2A+?*)7>;Clhz3;15Z_X55b@V$WV z1$-~ydja1I_+G&G0=^gUy@2lpd@ta80pAPwUcmPPz8CPlfbRu-FW`Ft-wXI&!1n^a z7x2A+?*)7>;Clhz3;15Z_X55b@V$WV1$-~ydja1I_+G&G0=^gUy@2lpd@ta80pAPw zUcmPPzL)U5gzqJMFX4L$-%I#j!uJxsm+-xW?Y<9UcvVYzE|+Qg6|c4ui$$H z-z)fD!S@QjSMa@p?-hKn;Clt%EBIc)_Zq&}@V$obHGHq(dkx=f_+G>J8ot-?y@u~K ze6Qhq4c}|{Uc>hqzSr=*hVM0eui<+Q-)s0@!}l7#*YLfD?=^g{;d>3=YxrKn_Zq&} z@V$obHGHq(dkx=f_+G>J8ot-?y@u~Ke6Qhq4c}|{Uc>hqzSr=*hVM0eui<+Q-)s0@ z!}l7#*YLfD?=^g{;d>3=YxrKn_Zq&}@V$obHGHq(dkx=f_+G>J2EI4&y@Bryd~e`; z1K%6?-oW<;zBll_f$t4`Z{T|a-y8Ve!1o5eH}JiI?+tu!;Cln#8~EP9_XfT<@V$ZW z4Sa9ldjsDa_};+x2EI4&y@Bryd~e`;1K%6?-oW<;zBll_f$t4`Z{T|a-y8Ve!1o5e zH}JiI?+tu!;Cln#8~EP9_XfT<@V$ZW4Sa9ldjsDa_};+x2EI4&y@Bryd~e`;1K%6? z-oW=3zPIqbh3_qVZ{d3j-&^?J!uJ-wxA47%?=5_9;d=|;Tln6>_ZGgl@V$laEqrg` zdkf!N_};?z7QVOey@l^Bd~e}<3*TG#-op15zPIqbh3_qVZ{d3j-&^?J!uJ-wxA47% z?=5_9;d=|;Tln6>_ZGgl@V$laEqrg`dkf!N_};?z7QVOey@l^Bd~e}<3*TG#-op15 zzPIqbh3_qVZ{d3j-&^?J!uJlocksP~?;U*a;Clz(JNVwg_YS^y@V$fY9enTLdk5b; z_};&6);d>9? zd-&eN_a46Y@V$rcJ$&!sdk^1x_};_!9=`YRy@&5TeDC3V58r$E-oy7EzW4CGhwnXn z@8Nq7-+TDp!}lJ(_wc=k?>&6);d>9?d-&eN@E(Sr|8Du@_o5E4ae$2jY#dF` zIKajMHV&|HfQae$2jY#dF`IKajMHV&|HfQYTd|$x#1$YTd|$x#1$YTd|$x#1$f0si+vRck-gNm2Vs{XG6*2g}gzroEzJ%{f_`ZbiOZdKo?@Rc; zgzroEzJ%{f_`ZbiOZdKo?@Rc;gzroEzJ%{f_`ZbiOZdKo?@Rc;gzroEzJ%{f_`Zbi zOZdKo?@Rc;gzroEzJ%{1d>`Ta2;WEeKEn4AzK`&IgzqDKAL085-$(d9!uJurkMMnj z?<0I4;rj^RNBBO%_YuC2@O^~uBYYp>`v~7h_&&n-5x$S`eT45Ld>`Ta2;WEeKEn4A zzK`&IgzqDKAL085-$(d9!uJurkMMnj?<0I4;rj^RNBBO%_YuC2@O^~uBYYp>`v~7h z_&&n-5x$S`eT45Ld>`Ta2;WEeKEn4AzK`&Igzqc(zJl*7_`ZVgEBL;G?<@Geg6}K% zzJl*7_`ZVgEBL;G?<@Geg6}K%zJl*7_`ZVgEBL;G?<@Geg6}K%zJl*7_`ZVgEBLp9 ze=GR6f`2Rcw}O8w__u<8EBLp9e=GR6f`2Rcw}O8w__u<8EBLp9e=GR6f`2Rcw}O8w z__u<8EBLp9e=GR6f`2Rcw}O8w__u<8EBLp9e=GR6f`4oHw}yXf__v0CYxuW@e{1-+ zhJS1Lw}yXf__v0CYxuW@e{1-+hJS1Lw}yXf__v0CYxuW@e{1-+hJS1Lw}yXf__v0C zYt*-E)VFKYw`
*I7^^ZFIU?jZImV(@(p-`DVc4d2)BeGT8&@O=&6*YJG}-`DVc z4d2)BeGT8&@O=&6*YJG}-`DVc4d2)BeGT8&@O=&6*YJG}-`DVc4d2)BeGT8&@O=&6 z*YJG}-`DVc4c|BLeFNV&@O=Z{H}HJ}-#74m1K&6BeFNV&@O=Z{H}HJ}-#74m1K&6B zeFNV&@O=Z{H}HJ}-#74m1K&6BeFNV&@O=Z{H}G!*|2FV%1OGPgZv+1}@NWbEHt=r) z|2FV%1OGPgZv+1}@NWbEHt=r)|2FV%1OGPgZv+1}@NWbEHt=r)|2FV%1OGPgZv+1} z@NWbEHt=r)|2FV%1OK-0Zwvpn@NWzMw(xHY|F-aN3;(w8Zwvpn@NWzMw(xHY|F-aN z3;(w8Zwvpn@NWzMw(xHY|F-aN3;(w8Zwvpn@NWzMwy1BnsBgEZZ?~v#x5w#Pbo&Zo zcMy9OG5EfP?_2o3h3{MVzJ>2w_`ZejTll_(?_2o3h3{MVzJ>2w_`ZejTll_(?_2o3 zh3{MVzJ>2w_`ZejTll_(?_2o3h3`A~w}XE>__u?9JNUPQe>?cMgMT~tw}XE>__u?9 zJNUPQe>?cMgMT~tw}XE>__u?9JNUPQe>?cMgMT~tw}XE>__u?9JNUPQe>?cMgMT~t zw}XE>__u?9JNUPQe>?cMgMT~tw}XE>__u?9JNUPQe>?cMgMT~tw}XE>__u?9JNUPQ ze>?cMgMT~tw}XE>__u?9JNUPQe>?cMlYgjhcc^c7sBd?uZ+CA@-<$5iZ-ky%_ z;rkxG@8SC%zVG4t9=`A4`yRgU;rkxG@8SC%zVG4t9=`A4`yRgU;rkxG@8SC%zVG4t z9=`A4`yRgU;rkxG@8SC%zVG4t9=`A4`yRgU;rkxG@8SC%zVG4t9=`A4`yRgU;rkxG z@8SC%zVG4t9=`A4`yRgU;rkxG@8SC%zVG4t9=`A4`yRgU;rkxG@8SC%zVG4t9=`A4 z`yRgU;rkxG@8SC%zVG4t9=`A4`yRd@;QIl-AK?1|z8~QG0lpvL`vJZm;QIl-AK?1| zz8~QG0lpvL`vJZm;QIl-AK?1|z8~QG0lpvL`vJZm;QIl-AK?1|z8~QG0lpvL<^gUV z;N}5t9^mEyZXV#~0d5}P<^gUV;N}5t9^mEyZXV#~0d5}P<^gUV;N}5t9^mEyZXV#~ z0d5}P<^gUV;N}5t9^mEyZXV#~0d5}P<^gUV;O0SY{+;QX`S5oU`#NHO|K`}eFbIP1 zc>ZxWI9@&e%>Bowub$2m?;cL?o;W{#d8W5N^>EW)o^8Ir#gA_9-QfCoMaOgaS^k}m zeQ|yYhx^C>@b{kbb@Dro=cU~ZPG5awq0!4b9l!Zt+h5{+xZZ>41-wpvtzQ&)=%CjGQKFhf*<&uHNGw|Tt4Ugy0^)>l?HM;-!v1{$Q#bx-Y zGw`8bYolPguOtel`%0owf(|t%$Fx`g~ z1=D>wf(|t%$Fx`g~1=D>wf(|t%$Fx`g~1=D>x~!Sp#6 z!s!rBhj2QC(;=J=;dBV6LpUA6=@3qba5{w3A)F53bO@(II32?25Kf11I)u|9oDSi1 z2&Y3h9m44lPKR(hgwr9M4&ihNr$aa$!s!rBhj2QCn<3l`;bsUoL%12j%@A&ea5IFP zA>0h%W(YS!xEaFD5N?KWGlZKV+zjDn2scBx8N$sFZia9(gqtDU4B=)7H$%7?%FXHb zUZQaNy_YC_1Kz%g*jtFbJspeSdj#Jj_#VOc2);+~J%aBMe2?IJ1m7e09>MnrzDMvq zg6|Q0kKlU*-y`@Q!S@KhNANv@?-6{D;Clq$BlsS{_Xxg6@I8X>5qyu}dj#Jj_#VOc z2);+~J%aBMe2?IJ1m7e09>MnrzDMvqg6|Q0kKlU*-y`@Q!S@KhNANv@?-6{D;Clq$ zBlsS{_Xxg6@I8X>5qyu}dj#Jj_#VOc2);+~J%aBMe2?LK4Bun;9>ez-zQ^!AhVL0AVwhJP{qi{W1k|6=$T!@n5*#qckNe=+=v;a?2@V)z%s zzZm|-@GpjcG5m|+Ukv|Z_!q;!82-iZFNS|H{7c|p0{;^Dm%zUS{w44)fqx17OWe<}P+;a>{>Quvp`zZCwZ z@GpgbDf~;}Ukd+H_?N=J6#k{~FNJ?8{7d0q3jb30m%_gk{-y9Qg?}mhOW|J%|5Esu z!oL*$rSLC>e<}P+;a>{>Quvp`zZCwZ@GpgbDf~;}Ukd+H_?N=J6#k{~FNJ?8{7d0q z3jb30m%_gk{-y9Qg?}mhOW|J%|5Esu%0JY%DeBu4^=*p!Hhp9I-ZXs^v9}O=dpefE z_YA&g@I8a?8GO&+dj{V#_@2S{48CXZJ%jHVe9z!}2H!LIp27DFzGv_~gYOx9&)|Co z-!u50!S@WlXYf6P?-_j0;Clw&Gx(mt_YA&g@I8a?8GO&+dj{V#_@2S{48CXZJ%jHV ze9z!}2H!LIp27DFzGv_~gYOx9&)|Co-!u50!S@WlXYf6P?-_j0;Clw&Gx(mt_YA&g z@I8a?8GO&+dj{V#_@2Y}9KPr9J%{f(e9z%~4&QV5p2PPXzUS~ghwnLj&*6Ix-*fn$ z!}lD%=kPs;?>T(W;d>6>bNHUa_Z+_G@I8m`IegFIdk)`o_@2Y}9KPr9J%{f(e9z%~ z4&QV5p2PPXzUS~ghwnLj&*6Ix-*fn$!}lD%=kPs;?>T(W;d>6>bNHUa_Z+_G@I8m` zIegFIdk)`o_@2Y}9KPr9J%{f(e9z%~4&QV5UcmPPz8CPlfbRu-FW`Ft-wXI&!1n^a z7x2A+?*)7>;Clhz3;15Z_X55b@V$WV1$-~ydja1I_+G&G0=^gUy@2lpd@ta80pAPw zUcmPPz8CPlfbRu-FW`Ft-wXI&!1n^a7x2A+?*)7>;Clhz3;15Z_X55b@V$WV1$-~y zdja1I_+G&G0=^gUy@2lpd@ta80pAPwUcmPPz8CPlfbRu-FW`Ft-wXI&!uJxsm+-xW z?Y<9UcvVYzE|+Qg6|c4ui$$H-z)fD!S@QjSMa@p?-hKn;Clt%EBIc)_X@sO z@V$cX6@0JYdj;QX_+G>J8ot-?y@u~Ke6Qhq4c}|{Uc>hqzSr=*hVM0eui<+Q-)s0@ z!}l7#*YLfD?=^g{;d>3=YxrKn_Zq&}@V$obHGHq(dkx=f_+G>J8ot-?y@u~Ke6Qhq z4c}|{Uc>hqzSr=*hVM0eui<+Q-)s0@!}l7#*YLfD?=^g{;d>3=YxrKn_Zq&}@V$ob zHGHq(dkx=f_+G>J8ot-?y@u~Ke6Qhq4c{C1-oW<;zBll_f$t4`Z{T|a-y8Ve!1o5e zH}JiI?+tu!;Cln#8~EP9_XfT<@V$ZW4Sa9ldjsDa_};+x2EI4&y@Bryd~e`;1K%6? z-oW<;zBll_f$t4`Z{T|a-y8Ve!1o5eH}JiI?+tu!;Cln#8~EP9_XfT<@V$ZW4Sa9l zdjsDa_};+x2EI4&y@Bryd~e`;1K%6?-oW<;zBll_f$t4`Z{T|a-&^?J!uJ-wxA47% z?=5_9;d=|;Tln6>_ZGgl@V$laEqrg`dkf!N_};?z7QVOey@l^Bd~e}<3*TG#-op15 zzPIqbh3_qVZ{d3j-&^?J!uJ-wxA47%?=5_9;d=|;Tln6>_ZGgl@V$laEqrg`dkf!N z_};?z7QVOey@l^Bd~e}<3*TG#-op15zPIqbh3_qVZ{d3j-&^?J!uJ-wxA47%?=5_9 z;d=|;JNVwg_YS^y@V$fY9enTLdk5b;_};&6);d>9?d-&eN_a46Y@V$rcJ$&!sdk^1x_};_!9=`YR zy@&5TeDC3V58r$E-oy7EzW4CGhwnXn@8Nq7-+TDp!}lJ(_wc=k?>&6);d>9?d-&eN z_a46Y@V$rcJ$&!sdk^1x_};_!0d5X(bAX!z+#KNM05=D?Il#>UZVqsBfSUu{9N^{v zHwU;mz|8?}4sdgTn*-b&;N}1~2e>)F%>ix>aC3m01Kb?o<^VSbxH-Vh0d5X(bAX!z z+#KNM05=D?Il#>UZVqsBfSUu{9N^{vHwU;mz|8?}4sdgTn*-b&;N}1~2e>)F%>ix> zaC3m01Kb?o<^VSbxH-Vh0d5X*6Ls-`x_EeF`gcZ#HxYXavA3sVGx$D(?=$#5gYPr= zK7;Qw_&$U0Gx$D(?=$#5gYPr=K7;Qw_&$U0Gx$D(?=$#5gYPr=K7;Qw_&$U0Gx$D( z?=$#5gYPr=K7;Qw_&$U0Gx$D(?=$#5gYPr=K7;Qw_&$U0Gx$D(?=$#5gYPr=K7;Qw z_&$U0Gx$D(?=$#5gYPr=K7;Qw_&$U0Gx$D(?=$#5gYPr=K7;Qw_&$U0bNDxhe{=XZ zhktYUH-~?7_&0}tbNDxhe{=XZhktYUH-~?7_&0}tbNDxhe{=XZhktYUH-~?7_&0}t zbNDxhe{=XZhktYUH-~?7_&0}tbNDxhe{=XZhktYUH-~?7_&0}tbNDxhe{=XZhktYU zH-~?7_&0}tbNDxhe{=XZhktYUH-~?7_&0}tbNDxhe{=XZhktYUH-~?7_&1k-sBh<} zZ|A6Q=csSzZ%o&s^EVND3$eGSV+;7cfbR?VzJTuw_`ZPe3;4c(?+f_8fbR?VzJTuw z_`ZPe3;4c(?+f_8fbR?VzJTuw_`ZPe3;4c(?+f_8fbR?VzJTuw__u(63;4Hye+&4x zfPV}4w}5{O__u(63;4Hye+&4xfPV}4w}5{O__u(63;4Hye+&4xfPV}4w}5{O__u(6 z3;4Hye+&4xfPV}4w}5{O__u(63;4Hye@pncgnvu;w}gL7__u_AOZc~he@pncgnvu; zw}gL7__u_AOZc~he@pncgnvu;w}gL7__u_AOZc~he@pncgnvu;w}gL7)VE92w@cKw zOVqc^`v~7h_&&n-5x$S`eT45L zd>`Ta2;WEeKEn4AzK`&IgzqDKAL085-$(d9!uJurkMMnj?<0I4;rj^RNBBO%_YuC2 z@O^~uBYYp>`v~7h_&&n-5x$S`eT45Ld>`Ta2;WEeKEn4AzK`&IgzqDKAL085-$(d9 z!uJurkMMnj?<0I4;rj^RSMYrW-&gQ`1>aZjeFfiF@O=f}SMYrW-&gQ`1>aZjeFfiF z@O=f}SMYrW-&gQ`1>aZjeFfiF@O=f}SMYrW-&gQ`1>aZjZw3EW@NWhGR`72H|5osC z1^-s?Zw3EW@NWhGR`72H|5osC1^-s?Zw3EW@NWhGR`72H|5osC1^-s?Zw3EW@NWhG zR`72H|5osC1^-s?Zw3EW@NWhG*6?o)|JLwt4gc2gZw>#}@NW(O*6?o)|JLwt4gc2g zZw>#}@NW(O*6?o)|JLwt4gc2gZw>#}@NW(O*6?o)|JLwt4gc1tZ`Y`A*QjsTsBhQD z>AvRmD~R1e>{Z0z`x?Hl;rklCui^U|zOUi?8osaL`x?Hl;rklCui^U|zOUi?8osaL z`x?Hl;rklCui^U|zOUi?8osaL`x?Hl;rklCui^U|zOUi?8osaL`x?Hl;rklCZ{Yg| zzHi|B2EK3L`v$&m;QI!?Z{Yg|zHi|B2EK3L`v$&m;QI!?Z{Yg|zHi|B2EK3L`v$&m z;QI!?Z{Yg|zHi|B2EK3L-v<6|;NJ%RZQ$Pq{%zpj2L5f}-v<6|;NJ%RZQ$Pq{%zpj z2L5f}-v<6|;NJ%RZQ$Pq{%zpj2L5f}-v<6|;NJ%RZQ$Pq{%zpj2L5f}-v<6|;NJ%R zZQRgZc*QEQQvNl)3xaK6~yi!_9|lVeGA{W@O=y4 zxA1)n-?#963*Wc!eGA{W@O=y4xA1)n-?#963*Wc!eGA{W@O=y4xA1)n-?#963*Wc! zeGA{W@O=y4xA1)n-?#96JK_7iFbIP1c>ZxWIEFzKeCGb+ANbDGdEUE+)4M0mk6)hY z?N2@2^p|Iw?{D#=+xu`o9*m=xcRGIa<==P6inBDr(n8PI|b9V+9{Z> zt4_gmeRK+@>!VXJT?3th=^E%1OxGl*V7ewb1=DrMDVVMUPQi2?a0)&>StFc+Z$s>} zhu{a~jM281vmobCrZh130Dr*OI->=aJ- zgPlT{6T+Nux*zNm!lQ7yAM6xP_k*3n>3*<) zey~#rtHSAiuu}-fLO2$}u@H`h)BRwl5XOZtE`)I*j0<602;)K+7s9v@#)U90gmEE^ z3t?P1-4AvO;a@2Kru)H8;Y2^!F$_-O8#t%mMC>iZ-ky#{a5{q15!{TX-&;CGa5I9N z5!{TX-&;CGFg1dy5loF>Y6Mdwm>R*<2&P6bHG-)TOpRb_1XClJ8o|^ErbaL|f~gTq zjbLg7QzMuf!PE$*MldyksS(_aU}FRuBiIurY#-5p0ZLV+0!`*cida2sTEr zF@lW|Y>Z%I1REpR7{SH}Hb$^9f{hVuj9_B~8za~l!Nv$SMzAr4jWKMD<7sbW)TuG* z)EM5z@HU3GF}#i8Z47T?cpJmp7~aP4Hiow`yp7>)3~yt28^hZe-p24YhPN@ijp1z! zk79Tf!=w0T@S2BJF>1URj>T{+hGQ`ti{V%d$6`1Z!?75S#c(W!V=)|y;aCjEVmKDV zu^5iUa4d#nF&vBGSPaKvI2OaP7>>noEQVt-9E;&t495~UmcX$DRweK#fkz2EO5jlf zj}my4z@r2nCGaSLM+rPi;86mP5_purqXZr$@F;;t2|P;RQ38(=)PD);zXbJPg8DBx zPFt0{g4i9zUPTPvCh#_aw+T#5U}^$46S$ec%>-^Ha5I6M3EWKJW&$@8xS7Ds1a2m9 zGl824+)UtR0yh)5nZV5iZYFRug)1psNl_oAFegQQl)|GF9;GlRg*hqAN#RNgS5ml= z!j%-Rq;MsLD=Az_;YtcuQn-@Bl@zX|a3zH+DO^e6N(xs}xRSz^6t1LjC50;~TuFas z+Nu<;q;Mrgt(L--6t1LjC50;~TuI?d3RhCNlERe~uB31!g)1psN#RNgS5ml=!j%-R zq;MsbE2zg()MF{?u@v=K`UcL2HxWZUmcBjxybNw;a5IarOqiO%)C{I(Fg44uE$}u& z{g=Vw3>IgwI79uH!RZW6XK*@$(;1x3;B*G3GdP{W=?qS1a5{t28Jy1GbOxt0IGw@i z3{GcoI)l?0oX+5M2B$MPoqY}G7!1!~cm~5W7@nbS%;0+l-!u50!S@WlXYf6P?-_j0 z;Clw&Gx(mt_YA&g@I8a?8GO&+dj{V#_@2S{48CXZJ%jHVe9z!}2H!LIp27DVzUS~g zhwnLj&*6Ix-*fn$!}lD%=kPs;?>T(W;d>6>bNHUa_Z+_G@I8m`IegFIdk)`o_@2Y} z9KPr9J%{f(e9z%~4&QV5p2PPXzUS~ghwnLj&*6Ix-*fn$!}lD%=kPs;?>T(W;d>6> zbNHUa_Z+_G@I8m`IegFIdk)`o_@2Y}9KPr9J%{f(e9z%~4&QV5p2PPXzUS~ghwnLj z&*6Ix-*fn$!}kKd7x2A+?*)7>;Clhz3;15Z_X55b@V$WV1$-~ydja1I_+G&G0=^gU zy@2lpd@ta80pAPwUcmPPz8CPlfbRu-FW`Ft-wXI&!1n^a7x2A+?*)7>;Clhz3;15Z z_X55b@V$WV1$-~ydja1I_+G&G0=^gUy@2lpd@ta80pAPwUcmPPz8CPlfbRu-FW`Ft z-wXI&!1n^a7x2A+?*)7>;Clhz3;15Z_Y%gHFsFnMC44AxoiA~nFLB*1aosI(eW`Gd zQsI80g6|a!uaL6}9#!zDLS8E5rGhyX45(m01p_J=Q0W-KfC>gwFrb0~6%43gKm`LT z7*N503IR|f4mpViY*UuVtT#ajMjascntyaUa8ns%DYk7@Yt;Th}hMP5NwHmcrjasdS zD>W>xQLELc)oK`C!|)olT8&z*hK)6BtYKpf8*9{RHQcO^I9Fal><(hDA_k{xI90u~;dBkB zYdBrQ=^9SgaJq)mHJq;DbPcC#I9|?WaJqrh4V-S^bOWawINiYM22M9{ zx`ERToNnNB1E(7}-N5MvPB(D6fzu6~Zs2qSryDrk!084~H*mUv(+!+%;B*708#vv- z=>|?WaJqrh4V-S^bOWawINiYM22M9{x`ERToNnNB1E(7}-NNY>PPcHnh0`sZZsBwb zr&~DP!s!-Hw{W_J(=D8C;dBe9TR7dq=@w46aJq%lEu3!QbPK0jINieO7EZTtx`op% zoNnQC3#VH+-NNY>PPcHnh0`sZZsBwbr&~DP!s!-Hw{W_J(=D8C;dBe9TR7dq=@w46 zaJq%lEu3!QbPK0jINieO7EZTtx`op%oNnQC3#VH+-NNY>PPcHnh0`sZZsBwXr#m>^ z!RZc8cW}Cc(;b}d;B*J4J2>6J=?+eJaJqxj9h~mqbO)z9INibN4o-J)x`WdlobKRs z2d6tY-NES&PIqv+gVPr#m>^!RZc8cW}Cc(;b}d;B*J4J2>6J=?+eJaJqxj z9h~mqbO)z9INibN4o-J)x`WdlobKRs2d6tY-NES&PIqv+gVPr#m>^!RZc8 zcW}Cc(>b;45;x2_%NWx8(_x(I|kS>z>WcS46tK>9RutbV8;ME2G}vcjsbQIuw#H7 z1MC=J#{fG9*fGG40d@?qV}Kn4>=x2{aAkli16 z%77YgK#e!RqX8Zb@MwTX13Vhw(SRCnK#ez`#v4%M4XE)3)Ods3M2$C~#v9(4u5*Sr z5qk@_&$U0Gx$D(?=$#5gYPr=K7;Qw_&$U0Gx$D(?=$#5gYPr=K7;Qw_&$U0 zGx$D(?=$#5gYPr=K7;Qw_&$U0Gx$D(?=$#5gYPr=K7;Qw_&$U0Gx$D(?=$#5gYPr= zK7;Qw_&$U0Gx$D(?=$#5gYPr=K7;Qw_&$U0Gx$D(?=$#5gYPr=K7;Qw_&$U0Gx$D( z?=$#5gYPr=K7;Rb_&0}tbNDxhe{=XZhktYUH-~?7_&0}tbNDxhe{=XZhktYUH-~?7 z_&0}tbNDxhe{=XZhktYUH-~?7_&0}tbNDxhe{=XZhktYUH-~?7_&0}tbNDxhe{=XZ zhktYUH-~?7_&0}tbNDxhe{=XZhktYUH-~?7_&0}tbNDxhe{=XZhktYUH-~?7_&0}t zbNDxhe{=XZhktYUH`v~7h_&&n-5x$S`eT45L zd>`Ta2;WEeKEn4AzK`&IgzqDKAL085-$(d9!uJurkMMnj?<0I4;rj^RNBBO%_YuC2 z@O^~uBYYp>`v~7h_&&n-5x$S`eT45Ld>`Ta2;WEeKEn4AzK`&IgzqDKAL085-$(d9 z!uJurkMMnj?<0I4;rj^RNBBO%_YuC2@O^~uBYYp>`v~7x@O=f}SMYrW-&gQ`1>aZj zeFfiF@O=f}SMYrW-&gQ`1>aZjeFfiF@O=f}SMYrW-&gQ`1>aZjeFfiF@O=f}SMYrW z-&gQ&1^-s?Zw3EW@NWhGR`72H|5osC1^-s?Zw3EW@NWhGR`72H|5osC1^-s?Zw3EW z@NWhGR`72H|5osC1^-s?Zw3EW@NWhGR`72H|5osC1^-s?Zw3F>@NW(O*6?o)|JLwt z4gc2gZw>#}@NW(O*6?o)|JLwt4gc2gZw>#}@NW(O*6?o)|JLwt4gc2gZw>#}@NW(O z*6?o)|JJB)*QjsTsBhP(Z`a4^zUK8Sh}}W#Rm9-?8osaL`x?Hl;rklCui^U|zOUi? z8osaL`x?Hl;rklCui^U|zOUi?8osaL`x?Hl;rklCui^U|zOUi?8osaL`x?Hl;rklC zui^U|zOUi?8osaL`x?G);QI!?Z{Yg|zHi|B2EK3L`v$&m;QI!?Z{Yg|zHi|B2EK3L z`v$&m;QI!?Z{Yg|zHi|B2EK3L`v$&m;QI!?Z{Yg|zHi{)2L5f}-v<6|;NJ%RZQ$Pq z{%zpj2L5f}-v<6|;NJ%RZQ$Pq{%zpj2L5f}-v<6|;NJ%RZQ$Pq{%zpj2L5f}-v<6| z;NJ%RZQ$Pq{%zpj2L5f}-v<6|;olbiZQ+r#%geBZ&##z|8~PJiyHZ+&sX|1Kd2o%>&##z|8~PJiyHZ+&sX| z1Kd2o%>&##z|8~PJiyHZ+&sX|1Kd2o%>&##z|8~PJiyI^-26M!HS^)`BKCE}{{GFe zdtnd+;qm1EbSW_R*>$@AAzWO1q_60BclmE{PUg7&&-BX->4~|!KJg?zd z{+;Wm;z=9zpoW_0i+k*zq`6xE`E~MJPg?HF6K~@exUEk-9xrfPE~|P08MxtE+aJ&C zJ>S>gqW+5c<^`nkhE?3VVcs*$`hZV=hBbWzP5b}(g{|}$1W&=(({Ijsd6(hioq-#! zYm4J~lW*6(!KePg$NMOF>n=AN&d*03z2O{M9nTweyY3A>F}}z=KW~Sg>+)V?BDk&> zcubDmkW28R$iVrSJlEItBg=aM{S_0Rb$P76;&9%yTXs*z8d`rnfh`HywNKk>`CI{=Ap>+;{l>E${^%lhga#!pUbn@8wOd z=tT!!;4yj5wudjED^YlGRp z_?W*=Zs^OuVb;fdC!THdKJnb|>ahL~v68>Kre2>z_xsJx8*zNfe^3AWD@pux-xUxg zSL?o2_esI0{@wE~y=22Xm-UxyyPtM*+ox^#JlcJz*NGQ!?oNEq)no4F$8)xB*SXt$ z-0#nB`-%EI&cBcCG9IsSqMvP^KI|G&;#%xUTt5%wRYnB&K|wi#@F|1x9eIP zf7CV34F$^$vtHpF_zlbg2EX z>-FaGgLZM|@toYwIX9d`x6q*v{T#aC#c^@whNRreS&yGX=`D1q`Ge2@;9Z<~{yBX) zmw3ZDbPF9idKo3X@_1g&?fQ&L^S7RV=I$@?^kFS{V!02F*Y&-^ z@!aNV|2_Tt-04$(Ph!w?E)MUXIBJ zOyJ{B-~W4$&wJ9G_Z-$IHNM21f#YN0d%^$k*u2Y(7nOnIc|{Lj;HPh{bn_eEe0+KI z5oF+aUgy=nd*^=-hWR&dvmVZRXMDPP6uvY0&VzgJJi0viFfx$+)2CeO@u}K9JQJU) z@uksi&%g~eesw(W^zHh7(Ah6P@i;zyUOYbMi3_20Eak`3FZt5){bpeI zr1KP`{mQ# zL%%0ClM~0u$R#kDfk%_J{hgCdFM*FJ1C_s{>Cr>@5pCsVwJ&D|Zm?!~^^&?>e;+I0 z-_AVm@6|3}h8LQF#h<*tx*NJLAACFa3*DT{%5GH#Zn&;(j^{mmx%<$r_Tb&a_Iuww z_2}c{<+}E0N?yS9_MhEf$;5T-d{8dKi_XCCnGd|itL~nOO7;UU`&)AX@pIYjuRNEf zTo!W6GH`?c_m1a%e7XI9^dRNCN9SCBI`&M@qvPsyo^zgGozBm=Azoa4y6-&yGJMbs z>^}ME5ED*YT?#m*JT*aC&d+@`L;T-UVK! zo;?Gn_daF*mG@3R)zs;!T3s4_%V*#Q|9|#)&dT|B&4SMd?Vq?k3EKbg``DMOR9uD+ zEd$L{|E|RKecIGV3EtVh>sIefT^_uj4D9~viDx~y>phQ7S9idt>t^TM<*Ntpx+4zH zkFO`+HSfCV<;VNaz~uYQ$9FCB`eeHI;4ec4_fGdi;nn!zzx%T;Kc7Pe4*!7{a&mWwXa?Me>H^X>FeQMkUVI5 zfBN$CIb@*ytQT^1*-3`s{#o)))AQWq;jHWNJ6ETNbKhxtdGO2`_{6tQKjrG&I1l%t z^SN;UytsEK3ho8>?mdh=c<#LiNCF^SX z{Mx@7MPIv`dl{Z*239}z*wT_9CGk-drXP(c3>+$*FYv;#T!};4{qA)lGMUe$pWy$IK zUvz(bZgVyL1LuF2;R9u$dh>>-Km4@B)%cw1>rYRdZ;0;?55^DYA0Nc8f>-U2R}U`3 z2gyKwlkxY9_2_&)JXrMcdVKzQjDq9w`g}N#uFsX%j@Ql3^Uv+Cn_Yfg&~KlTQa<55E4pz7!nK>A#BKxsDI#vm?BozG{CNK41pg z<>L!DU!AJYU)^GzU-{SL^BM7lAWHtom!jgIoM#NjU~_-|dHVj-@3)JhtMO&{fElR! zM;DL;$@wyLbqub?pAWM0_)F(;aQEB3RLp&7tB9@75~v7_MO+`N?t#IeZl=}*D*TA!MXjN^K|&w@-8!;F$2R-KKg{@_3kHr^gF%~X1~<^<^vi|77qTU-;?Z7rLK_KKsQV`MGoZ z=-mF%$Uu4*IKk_vZ&e|J&z@^KkF_=jF!-&cOMG_UVS}#DD&W&Yu7Ce;&N&&fwuO zdAj9XT0JQP!ykN7i-+;wzLMhVn1q*O@-QbK&UimOzT5|wqDKP1o!mKJyIy~A?Rt0c za-O_98z21f>JLA$*!|y+ zHb0*@MRM+Y{N^~iKYjP!CJ%zu5WN1`Bq-h$e{cIomv@Cc`2dcu3BqvGAu&%6bfCp?;g$BxNW&cFA6{o>o-9QXah>CgS6FaPG}KKG4p z+&>0EaDVz={+p}u_kMp6{G;>u(G^^#pE(0J9FuQ+K{?hJ}fyaN3 ztBQ~3wA`k@85RZc-+1ImU+v;$_((HQ{ooC&zh>oz`5)_956+?Jc;3oq`SA}fd;b}D>SOZx>;9OZzTA_&|6D)iGl%bZ%7($0%Hm5u{K`N5fv0VMY4^S}@NYfs zGp~+Gbo{56^WF6QSM#6Uc%$~$KBv~{vMX>>=`%Ak^25vZqj>mIaZdd<*{n+2km^$+R?XO+^0_bo4=2ZML|HWtE8(;3faXBV0 zBJTsfOY^aXT;kt48Mxsbx`hrMp4Fizy#OvwJt;%qLh;AXq4pL!^oi%~(34&v$0s$o#BWaq zZa9Z-p+oKScIZhjfQwU4%Fr!}KYk8%x6q+azG#P@^a?pXslg@w@?_wKbLbX2)V*kj zp7a8^IQ66qy+rZH&!N6Pp11$pxBb4os|j9)&+`|&(3@7<~! zuI+!}cy4mL&Y@5J@%Qfa%Q6+cEF1bQy`49lLx25v&dB-mv%h+K5>x)4mz(;hKAJD+ z#Em~yTz%UALmBQ>&v&u^qU_qu{~l3#6E%8ClUJ;Vn@VYLF^7< zuOjwo#J&x&&m#8ih}}c%rxE)aVn2h}pF!+r5&JpB{w!jzBlh!%{Q_ct4zXWE?3WPx z^N9Tg#HQa%dM)^ic-~(^>{k%`Rm6S`vA>Mi^m|^f1=H_&y%tQo=XHH8|La)auOs$1 z5c`|cu`oakPKR(hgwr9M4&ihNr$aa$!s!rBhj2QC(;=J=;dBV6LpUA6=@3qba5{w3 zA)F53bO@(II32?25Kf11I)u|9oDSi12&Y3h9m44lPKR(hgwrA14B=)7H$%7?!p#tF zhHx{4n<3l`;bsUoL%12j%@A&ea5IFPA>0h%W(YS!xEaFD5N?KWGlZKV+zjDn2scBx z8N$s_ZvHK}`L_{!1F<&|dke9*r(+R(kKlU*-y`@Q!S@KhNANv@?-6{D;Clq$BlsS{ z_Xxg6@I8X>5qyu}dj#Jj_#VOc2);+~J%aBMe2?IJ1m7e09>MnrzDMvqg6|Q0kKlU* z-y`@Q!S@KhNANv@?-6{D;Clq$BlsS{_Xxg6@I8X>5qyu}dj#Jj_#VOc2);+~J%aBM ze2?IJ1m7e09>MnrzDMvqg6|Q0kKlU*-y`@Q!S@KhNANv@?=gIj;d>0ez-zQ^!AhVLAVwhJP{qi{W1k|6=$T!@n5*#qckNe+m3c;9mm&68M+EzXbjz@GpUX z3H(doUjqLU_?N)H1pX!PFM)pv{7c|p0{;^Dm%zUS{w44)fqx17OWe<}P+;a>{>Quvp`zZCwZ@GpgbDf~;} zUkd+H_?N=J6#k{~FNJ?8{7d0q3jb30m%_gk{-y9Qg?}mhOW|J%|5Esu!oL*$rSLC> ze<}P+;a>{>Quvp`zZCwZ@GpgbDf~;}Ukd+H`G@*8MSYv1zD-fzrf*E&o2G9f_7-Aq zPscL&p27DFzGv_~gYOx9&)|Co-!u50!S@WlXYf6P?-_j0;Clw&Gx(mt_YA&g@I8a? z8GO&+dj{V#_@2S{48CXZJ%jHVe9z!}2H!LIp27DFzGv_~gYOx9&)|Co-!u50!S@Wl zXYf6P?-_j0;Clw&Gx(mt_YA&g@I8a?8GO&+dj{V#_@2S{48CXZJ%jHVe9z!}2H!LI zp27DFzGv_~gYOx9&)|Co-*fn$!}lD%=kPs;?>T(W;d>6>bNHUa_Z+_G@I8m`IegFI zdk)`o_@2Y}9KPr9J%{f(e9z%~4&QV5p2PPXzUS~ghwnLj&*6Ix-*fn$!}lD%=kPs; z?>T(W;d>6>bNHUa_Z+_G@I8m`IegFIdk)`o_@2Y}9KPr9J%{f(e9z%~4&QV5p2PPX zzUS~ghwnLj&*6Ix-*fn$!}lD%=kPs;?>T(W;d>6>3;15Z_X55b@V$WV1$-~ydja1I z_+G&G0=^gUy@2lpd@ta80pAPwUcmPPz8CPlfbRu-FW`Ft-wXI&!1n^a7x2A+?*)7> z;Clhz3;15Z_X55b@V$WV1$-~ydja1I_+G&G0=^gUy@2lpd@ta80pAPwUcmPPz8CPl zfbRu-FW`Ft-wXI&!1n^a7x2A+?*)7>;Clhz3;15Z_X55b@V$WV1$-~ydja1|_+G;I z626!4y@c;2d@td93ExZjUc&bhzL)U5gzqJMFX4L$-%I#j!uJxsm+-xW?Y<9 zUcvVYzE|+Qg6|c4ui$$P-)s0@!}l7#*YLfD?=^g{;d>3=YxrKn_Zq&}@V$obHGHq( zdkx=f_+G>J8ot-?y@u~Ke6Qhq4c}|{Uc>hqzSr=*hVM0eui<+Q-)s0@!}l7#*YLfD z?=^g{;d>3=YxrKn_Zq&}@V$obHGHq(dkx=f_+G>J8ot-?y@u~Ke6Qhq4c}|{Uc>hq zzSr=*hVM0eui<+Q-)s0@!}l7#*YLfD?=^g{;d=w$8~EP9_XfT<@V$ZW4Sa9ldjsDa z_};+x2EI4&y@Bryd~e`;1K%6?-oW<;zBll_f$t4`Z{T|a-y8Ve!1o5eH}JiI?+tu! z;Cln#8~EP9_XfT<@V$ZW4Sa9ldjsDa_};+x2EI4&y@Bryd~e`;1K%6?-oW<;zBll_ zf$t4`Z{T|a-y8Ve!1o5eH}JiI?+tu!;Cln#8~EP9_XfT<@V$ZW4Sa9ldkf!N_};?z z7QVOey@l^Bd~e}<3*TG#-op15zPIqbh3_qVZ{d3j-&^?J!uJ-wxA47%?=5_9;d=|; zTln6>_ZGgl@V$laEqrg`dkf!N_};?z7QVOey@l^Bd~e}<3*TG#-op15zPIqbh3_qV zZ{d3j-&^?J!uJ-wxA47%?=5_9;d=|;Tln6>_ZGgl@V$laEqrg`dkf!N_};?z7QVOe zy@l^Bd~e}<2j4sR-of_{zIX7wgYO-D@8Ej}-#hr;!S@cncksP~?;U*a;Clz(JNVwg z_YS^y@V$fY9enTLdk5b;_};&6);d>9?d-&eN_a46Y z@V$rcJ$&!sdk^1x_};_!9=`YRy@&5TeDC3V58r$E-oy7EzW4CGhwnXn@8Nq7-+TDp z!}lJ(_wc=k?>&6);d>9?d-&eN_a46Y@V$rcJ$&!sdk^1x_};_!9=`YRy@&5TeDC3V z58r$E-oy7EzW4CGhwnXn@8Nq7-+TBzz|8?}4sdgTn*-b&;N}1~2e>)F%>ix>aC3m0 z1Kb?o<^VSbxH-Vh0d5X(bAX!z+#KNM05=D?Il#>UZVqsBfSUu{9N^{vHwU;mz|8?} z4sdgTn*-b&;N}1~2e>)F%>ix>aC3m01Kb?o<^VSbxH-Vh0d5X(bAX!z+#KNM05=D? zIl#>UZVqsBfSUu{9N^{vHwU;mz|BE!qAng#7Y}dz|Lxs%uv~T0hw%>XaLyb>gF6Iw z2=4Cg?(PtRySux)`;W%m-QC^&4ZCdB7Bzf#-hTc7szMc;gy91>+|)jtY5Mk`?~IIg zrg-fv@!Hvc{#qvbo{7F^qVJjLdnWpxiN0r|@0sX(Ci7x#(Xm`j?CT<)VMN=wB}Smy7=8qJO#QUoQHWi~i-Jf4S&iF8Y^?{^g>7 zx#(Xm`j?CT<)VMN=wB}Smy7=8qJO#QUoQHWi~i-Jf4S&iF8Y^?{^g>7x#(Xm`j?CT z<)VMN=wB}Smy7=8qJO#QUoQHWi~i-Jf4S&iF8Y^?{^g>7x#(Xm`j?CT<)VMN>YsSN z&BgO=E}n05@qC+~@#oK?`I+Liv&3s>|M_bj(f5w%dq?!WBl_MEeea0AcSPSiqVFBi z_m1d$NA$fT`rZ+J?})y4MBh82?;X+ij_7+w^t~hc-VuH8h`x73-#eo39ntrW=zB-> zy(9YG5&i3k{&htEI--9a(Z7!9Uq|$>Bl_17{p*PSbwvLxlk!ME^RXe;v`kj_6-U^sgiO*Ae~ei2ij%|2m?79nrsz=wC7ozWl=zAghUWmRIqVI+1dm;K> zh`tx1?}g}lA^Kj3z89kJh3I=B`d)~>7ozWl=zAghUWmRIqVI+1dm;K>h`tx1?}g}l zDf(WDzL%o!rRaMp`d*5@m!j{b=zA&pUW&e#qVJ{Xdnx)}ioTbk@1^K_Df(WDzL%o! zrRaMp`d*5@m!j{b=zA&pUW&e#qVJ{Xdnx)}ioTbk@1^K_Df(WDzL%o!rRaMp`d*5@ zm!j{b=zA&pUW&e#qVJ{Xdnx)}ioTbk@1^K_Df(WDzL%o!rRaMp`d*5@m!j{b=zA&p zUW&e#qVJ{Xdnx)}ioTbk@1^K_Df(WDzL%o!rRaMp`d*5@m!j{b=zAslUWvX}qVJXH zdnNi_iN05&@0I9#CHh{8zE`5}mFRmV`d*2?SEBEg=zAslUWvX}qVJXHdnNi_iN05& z@0I9#CHh{8zE`5}mFRmV`d5kmRib~D=wBuJSBd^rqJNd>UnTliiT+ihf0gK8CHhy1 z{#BxXmFQn3`d5kmRib~D=wBuJSBd^rqJNd>UnTliiT+ihf0gK8CHhy1{#BxXmFQn3 z`d5kmRib~D=wB`RSBw7DqJOpMUoHAqi~iN3f3@geE&5lB{?(#?wdh|h`d5qo)uMm3 z=wB`RSBw7DqJOpMUoHAqi~iN3f3@geE&5lB{?(#?wdh|ho^NaMd|Qj>+gd!|)_wo{ zz2lhqVKindoB82i@w*Q@3rWAE&5)IzSpAfwdi{-`d*8^*P`#W=zA^tUW>lhqVKin zdoB82i@rCa?~UktBl_NmzBi)pjp%zL`re4XH=^&2=zAmj-iW?8qVJ99dn5YZh`u+X z?~UktBl_NmzBi)pjp%zL`re4XH=^&2=zAmj-iW?8qJNF(UnBb0i2gOAe~sv0Bl_2f z{xzb1jp$z^`qzm5HKKow=wBoH*NFZ#qJNF(UnBb0i2gOAe~sv0Bl_2f{xzb1jp$z^ z`qzm5HKKow=wBoH*NFZ#qJNF(UnBb0i2k*rf34_WEBe=p{|AX`qzs7wW5Em z=wB=P*NXnNqJOREUn~08ivG2tf34_WEBe=p{|AX`qzs7wW5Em=wB=P*NXnN z;`z1}&$q33zHP}9z`reAZ zx1#T@=zA;r-ip4rqVKKfdn@|hioUm^@2%*2EBfAwzPF<9t>}9z`reAZx1#T@=zA;r z-ip4rqVKKfd;2GSAJE6l`t%+2KmDw4ADhP}`uF$ep1S{_Klb0h_5bf54Ep{*=f~|H z|M}4WIUn=CKjJ@ry#M)m{Qm6_|J)xBdFDU==kTwe!5=>dfB2LP{^4KoPoSUu;s4hL znDrg>f%LQf>;DxW&)~nO{wMJJ=WB?*gZ{Mt&+mi&opLz+SN`9By?=FYfOUPY{9hl- z@3!l6XOFh)b7_yZ>vL<5w(E0kkGAV`Z;!U?b8(Nh>vMCDw(E0skGAV`caOH~b9s-p z>vMaLw(E0!kGAV`e~-57`vN`MuJ0T4XuH0z(4+18zC(|;>-!Qt+OF?g^k}=juhFCJ z`o2exw(I*MJ=(7CoAhYAzOT}w?fSk;kGAXkGCkU^@7wffyS}f}qwV^>Pmi|i`$9e1 zuJ0T5XuH0z)T8bCzEh93>-$nY+6lV7r`4mK5ZH-;ofz0jfSnZB$$*_4*eQUW64&IN3LVCM#Q9$@DM zc0OR|2X+Bq7X)@8U>62<5nvYub}?WV2X+ZymjrexV3!7V8DN(Mb~#{|2X+NuR|Iw? zU{?lq6<}8db~RvE2X+l$*93MgVAlqA9bnf5c0FL%2X+HsHw1PgU^fPK6JR$5b~9i% z2X+f!w*+=8V7CT#8(_Bub~|9V2X+TwcLa7PV0Q*~7hrb}h0zwba`_Xc(!VD|-fKVbI<_5ffH1oj|c4+i!SU=IcMFklY{_6T5)1okLkj|TP_ zV2=g%IAD(l_5@&01ok9gPX_iBU{3}1G+<8$_6%Up1okXo&j$7!V9y2iJYdfU_5xrp z1ok3eF9!A!U@rysGGH$U_6lIH1okRmuLkxSV6O%CI$*B{_6A^Y1okFiZwB@jU~dKX zHehcDHUWDFunz2=3{X3G7h74h`%uzzz%SaKH`^>W&+XuxK` z=D>D!~P6q7cz)k_|l)z2}?9{;a19losKd=h`yCAR&0lP4;ivYVQu!{k^IIv3qyCkqn0lPG? z%K*D9u*(6vJg_SOyCSeF0lPA=s{p$yu&V*PIj1khuy8yc@ zu)6`fJFt5IyC<*%fZYq&fxzw!>^{Km3+#Tt?hot%z#a(fLBJji>>@mO|3+!>g9uMpZz@7-~Nx+^A>?y#W3hZgXo(}98z@7>0S-_qR>^Z=m z3+#Eoo)7E=z+MRKMZjJR>?Ocn3hZUTUJmRPz+MULRlr^i>@~n%3+#2kUJvXIz}^V# zO~Bp^>@C3F3hZsb-VSU6_6}ej*gJu}3)s7Xy$9HPfxQpd`+2{*e`+o3fQlK{RY@?f&C8H z?}7aR*dKxY3D}>3{RP-xWA+dCo(B%T_iTUT-~RG@&jZ1|=Ycjv?AK`DJ~q&X1a>H3 zhX!^SV21^EIADhdb_8HY1a>4~M+SBjU`GXZG+;Aeb6`8b7QmLkR>0Q4Ho&&Pjt=Y? zz>W#*Sip`A>^Q*o1$JCu#{+hJU?%`}LSQEXc4A;B0d`VgCj)kJV5b0fN?@k~c4}bz z0Xq$_(*ipku+sxO1F$m!I}@-o13L?_vjRICu(Ja@2e5MjI~TD1ft?%Jd4Qc4*!h5+ zAJ_$eT@cuXfL$2aMSxut*u{Wd9M~m*T@u)(fL$8cWq@54*yVs-9@rItT@l!ofL$5b zRe)U;*wuht9oRL1T@%=~fL$Bdb%0$L*!6&2AJ`3m-4NJ~fZZ6_O@Q4L*v)|59M~;@ z-4fWXfZZC{ZGhbt*zJJb9@rg#-4WQGfZZ9`U4Y#c*xi8L9oRj9-4oaW!0rX?Kw$R< zb{}B(1$IAR_XqX>U=IZLAYcy$_7GqX1@%hJN?3=*81?=0vz60#Lz`h6U`@ntx?1#X9 z1nkGaegf>LzWp%*uahhY+qo<1$I1O#|L%-U?&82B48&5b`oGG1$HuE zCkJ*4V5bCjDqyDuwjZ$506Q(P(*Zj@urmNVBd{|8J2SAe06QzNvjIChuyX)AC$Mt? z+aK7uft?4~d4Zh|*!h870N4eAT?p8Pfn5aHMS)!m*u{Ze0@x*iT?*Kxfn5gJWr1A| z*yVv;0oWCRT?yEgfn5dIRe@a%*wuku1K2fzT?^Q?fn5jKb%9+E*!6+k0N4$I-3Zu? zf!zeyO@ZAE*v*060@y8q-3r*Pf!zk!ZGqhm*zJMc0oWaZ-3i#8f!zhzU4h*V*xiBM z1K2%d_77k0I}QGN-+$vT_icaq*ZWT3>wPEi^}ZAMdf&<7^ZsPQ_=Wbt`_ zviQ6|S$y7~EI#i~7N7Sgi_iO$#pnIW;`9Dw@p*r;_`E+^eBPfdKJQN!pZ6z=&-;_b z=l#jz^ZsPQ_=Wbt`_viQ6|S$y7~EI#i~7N7Sgi_iO$#pnIW;`9Dw@p*r; z_`E+^eBPfdKJQN!pZ6z=&-;_b=l#jz^ZsPQ_=Wbt`_viQ6|S$y7~EI#i~ z7N7Sgi_iO$#pnIW;`9Dw@p*r;_`E+^eBPfdKJQN!pZ6z=&-;_b=l#jz^ZsPQ_=Wbt`_viQ6|S$y7~EI#i~7N7Sgi_iO$#pnIW;`9Dw@p*r;_`E+^aNa-Yc5<=- zvHw=!Uv@8G2Lih{u=@bJFR=RoyFai80DB;?2LXF9u!jJ9D6oeCdpNL10DB~`M*({@ zu*U#@7Xf=Qu$KUPDX^CTdpWRI0DC2{R{?u9u-5>4EwI-Cdp)o>0DB{_HvxMyu(tqv zE3mf#dpocR*gJrAVDAL>E@1Bl_8wsG1@=B*?+5k)U>^kbAz&W{_7Pwo1@;V7~J_K;A0*V*r9+O8rWfg9TwQ(fE^y#5r7>L*pYx88Q4*P z9TnKofX#r-f$acW09yiE0b2vx0NVmPI@>hm3+!~jP7mx1z|IKlOu)_z>@2{} z3hZpa&JOGxz|INmT)_4Rc5Yzj0d`(s=L2?rU>5*(L0}gGc41%_0d`Sf7Xx;2V3z=P zNnn=(c4=Uj0d`qnmjiZrU{?TkMPOF~c4c5!0d`ejR|9r+VAlY4O<>moc5PtS0d`$r z*8_HaU^f7ELtr-oc4J^S0d`YhHv@KaV7CBvOJKJGc57g_0d`wpw*z*2V0Qp^M__jX zc4uIB0d`klcLR2JVD|uaPhbZCyBDwnf!!O}eSqB;*!_UrAJ_wcJrLM~fIS%4Lx4RL z*u#K59M~g(JrdZXfIS-6V}Lyt*yDgb9@rCrJrUTGfIS)5Q-D1c*wcVL9oRE~Jrmfo zfIS=7bAUY;*zy%N}~fV~>nYk<8L*z173 z9@razy%E@(fV~;mTY$Y4*xP`;9oPiy9l$!UcLIACuy+G{53u(Fdmpg(1N#854+8rT zunzyX z1N#QBZvy)kux|tV4zTY6`yR0G1N#B69|HRkupa~a39z36`x&sG1N#NAUjq9TuwMiF z4Y1z=`yH^~1N#H8KLYy`us;L)3$VY&?0`Ol?i=^%JLrG<*`TlI>}LP|e*g6c{h`6X z{muRf{N?wa9o&0%aPQf{y=Mpao*mqKc5v_6!M$e(_nsZxdv28#rM74;``oi@qKT%_`bJW zeBawGzVGc8-}iQl?|Zw&_r2ZX``&KxeQ&q;zPDR^-`g#|@9h@f_jZf#d%MN=z1`yb z-fr=IZ@2iqw_AMQ+bzED?H1qnc8l+OyT$jt-QxS+Zt;C@xA?xdTYTT!ExzyV7T@=F zi|>28#rM74;``oi@qKT%_`bJWeBawGzVGc8-}iQl?|Zw&_r2ZX``&KxeQ&q;zPDR^ z-`g#|@9h@f_jZf#d%MN=z1`yb-fr=IZ@2iqw_AMQ+bzED?H1qnc8l+OyT$jt-QxS+ zZt;C@xA?xdTYTT!ExzyV7T@=Fi|>28#rM74;``oi@qKT%_`bJWeBawGzVGc8-}iQl z?|Zw&_r2ZX``&KxeQ&q;zPDR^-`g#|@9h@f_jZf#d%MN=z1`yb-fr=IZ@2iqw_AMQ z+bzED?H1qnc8l+OyT$jt-QxS+Zt;C@xA?xdTYTT!ExzyV7T@=Fi|>28#rM74;``oi z@qKT%_`bJWeBawGzVGc8-}iQl?|Zw&_r2ZX``&KxeQ&q;zPDR^-`g#|@9h@f_jZf# zd%MN=z1`yb-fr=IZ@2iqw_AMQ+bzED?H1qnb_?!%|F+`|?mauW_w3-_v-cS?w%`7* zpYKq>4h`%uzzz%SaKH`^>W&+XuxK`=D>D!~P6q7cz)k_|l)z2} z?9{;a19losKd=h`yCAR&0lP4;ivYVQu!{k^IIv3qyCkqn0lPG?%K*D9u*(6vJg_SOyCSeF z0lPA=s{p$yu&V*PIj1khuy8yc@u)6`fJFt5IyJyT=p99y( z2J{{Db*+E<{r9gYJ;Hx|f5?8827OKN(X8K)Ht5@b|9QW?KKS>)$3KJLKW9V#_WAhj zYqk%0+i%~dL7%Ik`}DIje*f0`ti9Cm=l$n%_PZJRKR+J)?cd{bIr#H1`13IM*TCRk K1OJp?1OErD&Q`Sm literal 0 HcmV?d00001 diff --git a/openocd/bscan_spi_xc7a200t_openocd_v0.11.bit b/openocd/bscan_spi_xc7a200t_openocd_v0.11.bit new file mode 100644 index 0000000000000000000000000000000000000000..851fac1adaba79aec62576e9532b5d1c7750baee GIT binary patch literal 953338 zcmeF)S+6Vmz8+*(m8-}imr_u-%%MGPqk5*G-R5X5B~b`UoNCAp3c34uW9Ll;7` znzVccZn)-qaL+e@x%b*fI@&q)0I?U{?zO7_=|t`PyaigfAN$1Gr985ll${=fBXx% ze>;~xf8!5+K7a5J{DIuha<#wy*Z$vs#3`4{{U6O$?vMQT&Hnel`6vGD;@e+7!bR}y z?Av+nr}Nxz{o?!=*-w`XKfnBo>*1gL={CV42y_3^|NRL5&tG2utswd{fAViUe!6}7 zi`(XYp5=o_?z?a2@wfAzUvK0dQSKM#KfjH>9=XN0+kM-vpYAjG`E4J$ub+K8`~B1Z z&z^x_zDD8V+jjlqd_97%;y>!4{Bl1CzTGzetGE4S79xv~<&nk65@ZErMPwo5wbC|39>1&8L~OD1+pcw6|yz54YDn= z1F|Es-$M2uAp6V6{tB}H5ZPZv_8%epkCFW~Wd8}W{}kC@NA{l~`_Ga67s&ogWd9Yi z{~FnUgY0i0`)`r`cgX&GWd8%Q{}I{WMD{-+`&-EVXJr3Nb{6E2!RY`_2XH!o(*c|g z;B)||12`SP=>Sd#a5{j~0h|utbO5IVI32+008R&RI)KvwoDSf00H*^u9l+@TP6u#0 zfYSk-4&ZbErvo@0!07-^2XH!on*rPm;AQ|f1GpK$%>Zr&a5I3L0o)AWW&k$>xEa9B z0B#0wGk}``+zjAm05=1;8Nkf|ZU%5OfSUo_4B%z}Hv_mC$j$#1ZvJn`zC-psvLBHB zn4N|2J%sNed=KG!2;W2a9>Vt!zK8HVgzq7I58-Vt!zK8HVgzq7I z58-Vt!zDMvqg6|Q0kKlU*-y`@Q!S@KhNANv@?-6{D;Clq$BlsS{ z_Xxg6@I8X>5qyu}dj#Jj_#VOc2);+~J%aBMe2?IJ1pgxV7s0;>{zdRFf`1YGi{M`b z|04Jo!M_OpMer|ze-ZqP;9ms)BKQ}wOzFM@v& z{EOgU1pgxV7s0;>{^jA{x1U$Y{G2%t|MKuJ5C8J;FAx9n@GlSl^6)PY|MKuJ5C8J; zFAx9n@GlSl^6)PY|MKuJ5C8J;FAx9n@GlSl^6)PY|MKuJkA9m+zs;lH=FxBS3%tjc z$X3YK$l!Y(zUSe49=_+{dmg^$;d>sw=iz%EzUSe49=_+{dmg^$;d>sw=iz%EzUSe4 z9=_+{dmg^$;d>sw=iz%EzUSe49=^x$@7vGmW%?JxzZm|-@GpjcG5m|+Ukv|Z_!q;! z82-iZFNS|H{EOjV4F6*I7sJ08{>AVwhJP{qi{W1k|6=$T!@n5*#qckNe=+=v;a?2@ zV)z%szZm|-@GpjcG5m|+Ukv|Z_!q;!82-iZFNS|H{EOjV4F6*I7sJ08{>AVwhJP{q zi{W1k|6=$T!@n5*#qckNe=+=v-@pmxwdt^T#`!PF9;Clk!6ZoFM z_XNHt@I8U=34BlBdjj7R_@2P`1imNmJ%R5Dd{5we0^bw(p1}76z9;ZKf$s@?PvCn3 z-xK(r!1n~cC-6Oi?+JWQ;Clk!6ZoFM_XNHt@I8U=34BlBdjj7R_@2P`1imNmJ%R5D zd{5we0^bw(p1}76z9;ZKf$s@?PvCn3-xK(r!1n~cC-6Oi?+JWQ;Clk!6ZoFM_XNHt z@I8U=34AZW_X2z`!1n@tFTnQ#d@sQF0(>vP_X2z`!1n@tFTnQ#d@sQF0(>vP_X2z` z!1n@tFTnQ#d@sQF0(>vP_X2z`!1n@tFTlS7{42n}0{kn$zXJR#z`p|gE5N@3{42n} z0{kn$zXJR#z`p|gE5N@3{42n}0{kn$zXJR#z`p|gE5N@3{42n}0{kn$zXJR#z`p|g zE5N@3{42n}0{kn&zasoA!oMQ?E5g4b{42u0BK#}DzasoA!oMQ?E5g4b{42u0BK#}D zzasoA!oMQ?E5g4b{42u0BK#}DzasoA!oMQ?E27^P(Qk|Bw?*{Z;v#$YR9qrkAzLGZ z??w1tgzrW8UWD&O_+EtXMfhHX??w1tgzrW8UWD&O_+EtXMfhHX??w1tgzrW8UWD&O z_+EtXMfhHX??w1tgzrW8p2EKr{-y9Qg?}mhOW|J%|5Esu!oL*$rSLC>e<}P+;a>{> zQuvp`zZCwZ@GpgbDf~;}Ukd+H_?N=J6#k{~FNJ?8{7d0q3jb30m%_gk{-y9Qg?}mh zOW|J%|5Esu!oL*$rSLC>e<}P+;a>{>Quvp`zZCwZ@GpgbDf~;}Ukd+H_?N=J6#k{~ zFNJ?8{7d0q3jb31hkl!)-=^rdDf(^tUG~~E{T|s5$bQVuO7Oh|-%Iel1m8>Wy#(J& z@Vx}zOYpq}-%Iel1m8>Wy#(J&@Vx}zOYpq}-%Iel1m8>Wy#(J&@Vx}zOYpq}-%Iel z1m8>Wy#(J&@Vx}zOYpq}-%Iel1m8>Wy#(J&@Vx}zOYpq}-%Iel1m8>Wy#(J&@Vx}z zOYpq}-%Iel1m8>Wy#(J&@Vx}zOYpq}-%Iel1m8>Wy#(J&@Vx}zOYpq}-%Iel1m8>W zy#(J&@Vx}z%kaGn-^=j54ByM}y$s*W@VyM*%kaGn-^=j54ByM}y$s*W@VyM*%kaGn z-^=j54ByM}y$s*W@VyM*%kaGn-^=j54ByM}y$s*W@VyM*%kaGn-^=j54ByM}y$s*W z@VyM*%kaGn-^=j54ByM}y$s*W@VyM*%kaGn-^=j54ByM}y$s*W@VyM*%kaGn-^=j5 z4ByM}y$s*W@VyM*%kaGn-^=j54ByM}y$s*W@VyM*EAYJn-z)IF0^cj}y#n7W@Vx@x zEAYJn-z)IF0^cj}y#n7W@Vx@xEAYJn-z)IF0^cj}y#n7W@Vx@xEAYJn-z)IF0^cj} zy#n7WaI*q8D{!*{H!E+^73OB28vkEt> zaI*?Gt8lXlH>+^73OB28vkEt>aI*?Gt8lXlH>+^73OB28vkEt>aI*?Gt8lXlH>+^7 z3OB28vkEt>aI*?GtLVj5^y2Cw`!lKP64?sb8X0`A!uKkCufq2#e6PayDtxcP_bPm^ z!uKkCufq2#e6PayDtxcP_bPm^!uKkCufq2#e6PayDtxcP_bPm^!uKkCufq2#e6Pay zDtxcP_bPm^!uKkCufq2le6PXx8ho$8_Zob!!S@<`ufg{ke6PXx8ho$8_Zob!!S@<` zufg{ke6PXx8ho$8_Zob!!S@<`ufg{ke6PXx8ho$8_Zs}G!M_^(tHHk-{Hwvg8vLul zzZ(3j!M_^(tHHk-{Hwvg8vLulzZ(3j!M_^(tHHk-{Hwvg8vLulzZ(3j!M_^(tHHk- z{Hwvg8vLulzZ(3j!M_^(tHHlI{Hw#iI{d4{zdHP@!@oNGtHZxK{Hw#iI{d4{zdHP@ z!@oNGtHZxK{Hw#iI{d4{zdHP@!@oNGtHZxK{Hw#iI{d4{zdHJD9sRbBep^SstuL~> zXnl!ng=~!szSrS<9lqD$dmX;l;d>pv*Wr5|zSrS<9lqD$dmX;l;d>pv*Wr5|zSrS< z9lqD$dmX;l;d>pv*Wr5|zSrS<9lqD$`?vr1bLQ`sY{0(;{A<9!2K;NlzXtqkz`q9k zYrww-{A<9!2K;NlzXtqkz`q9kYrww-{A<9!2K;NlzXtqkz`q9kYrww-{A<9!2K;Nl zzXtqkz`q9kYrww-{A<9!2K;NlzXtqkz`q9kYrww-{A<9!2K;NlzXtqkz`q9kYrww- z{A<9!2K;NlzXtqkz`q9kYrww-{A<9!2K;NlzXtqk$UpSk2KsFS{kDOA+xQONevj-2 zWItwSP59n~?@jpLgzruG-h}T>_}+x?P59n~?@jpLgzruG-h}T>_}+x?P59n~?@jpL zgzruG-h}T>_}+x?P59n~?@jpLgzruG-h}T>_}+x?P59n~?@jpLgzruG-h}T>_}+x? zP59n~?@jpLgzruG-h}T>_}+x?P59n~?@jpLgzruG-h}T>_}+x?P59n~?@jpLgzruG z-h}T>_}+x?P59n~?@jpLgzruG-h}T>_}+x?E%@Go?=AS=g6}Q(-h%Hf_}+r=E%@Go z?=AS=g6}Q(-h%Hf_}+r=E%@Go?=AS=g6}Q(-h%Hf_}+r=E%@Go?=AS=g6}Q(-h%Hf z_}+r=E%@Go?=AS=g6}Q(-h%Hf_}+r=E%@Go?=AS=g6}Q(-h%Hf_}+r=E%@Go?=AS= zg6}Q(-h%Hf_}+r=E%@Go?=AS=g6}Q(-h%Hf_}+r=E%@Go?=AS=g6}Q(-h%Hf_}+r= zZTQ}X?``_}77d z9r)LQe;xSOfqxzN*MWZ>_}77d9r)LQe;xSOfqxzN*MWZ>_}77d9r)LQe;xSOfqxzN z*MWZ>_}77d9r)LQe;xSOfqxzN*MWZ>_}77dUHI39e_i<3g@0Z6*M)yw_}7JhUHI39 ze_i<3g@0Z6*M)yw_}7JhUHI39e_i<3g@0Z6*M)yw_}7JhUHI39e_i<3g@0Z2+b;TT z7yY)2e%oDS|LjP2iEM>zjSRkb;d>Xpcj0>%zIWk!7ruAldl$ZU;d>Xpcj0>%zIWk! z7ruAldl$ZU;d>Xpcj0>%zIWk!7ruAldl$ZU;d>Xpcj0>v{`KHr5B~MwUl0EE;9n2^ z_26F*{`KHr5B~MwUl0EE;9n2^_26F*{`KHr5B~MwUl0EE;9n2^_26F*{`KHr5B~Mw zUl0EE;9n2^_26F*{`KHr5B~MwUl0EE;9n2^_26F*{`KHr5B~MwUl0EE;9n2^_26F* z{`KHr5B~MwUl0EE;9n2^_26F*{`KHr5B~MwUl0EE;9n2^_2eJ=Z4dpnhkn~bzwLdO zy*BNAkL(9zKW1lr_}+)_efZvo?|t~*hwpv(-iPmf_}+)_efZvo?|t~*hwpv(-iPmf z_}+)_efZvo?|t~*hwpv(-iPmf_}+)_efZvo?|t~*hwpv(-iPmf_}+)_efZvo?|t~* zhwpv(-iPmf_}+)_efZvo?|t~*hwpv(-iPmf_}+)_efZvo?|t~*hwpv(-iPmf_}+)_ zefZvo?|t~*hwpv(-iPmf_}+)_efZvo?|t~*hwpv(-iPl4_&$K|1Nc6G?*sTgfbRqN zK7j87_&$K|1Nc6G?*sTgfbRqNK7j87_&$K|1Nc6G?*sTgfbRqNK7j87_&$K|1Nc6G z?*sTZfPVw{H-LWw_&0!m1Nb+9e*^e8fPVw{H-LWw_&0!m1Nb+9e*^e8fPVw{H-LWw z_&0!m1Nb+9e*^e8fPVw{H-LWw_&0!m1Nb+9e*^e8fPVw{H-LXb_&0=qL-;p@e?#~; zgnvW$H-vvf_&0=qL-;p@e?#~;gnvW$H-vvf_&0=qL-;p@e?#~;gnvW$H-vvf_&0=q zL-;p@e?#=!A^PnQ{dS0cJ6vSXo`y?gD`abA@O=p1hwyy}--qyh2;YbBeF)!&@O=p1 zhwyy}--qyh2;YbBeF)!&@O=p1hwyy}--qyh2;YbBeF)!&@O=p1hwyy}-$(Fo1ph|x zZv_8F@NWeFM(}S0|3>g{1ph|xZv_8F@NWeFM(}S0|3>g{1ph|xZv_8F@NWeFM(}S0 z|3>g{1ph|xZv_8F@NWeFM(}S0|3>g{1ph|xZv_8F@NWeFM(}S0|3>g{1ph|xZv_8F z@NWeFM(}S0|3>g{1ph|xZv_8F@NWeFM(}S0|3>g{1ph|xZv_8F@NWeFM(}SW|Ilwo z=(i*E+Y$Qh=)3H->F9f8KOp-tI~&9IF?=7x_c44Q!}l?KAH(-Cd>_O2F?=7x_c44Q z!}l?KAH(-Cd>_O2F?=7x_c44Q!}l?KAH(-Cd>_O2F?=7x_c44Q!}l?KAH(-Cd>_O2 zF?=7x_c44Q!}l?KAH(-Cd>_O2F?=7x_c44Q!}l?KAH(-Cd>_O2F?=7x_c44Q!}l?K zAH(-Cd>_O2F?=7x_c44Q!}l?KAH(-C3?IYr32dCe#tCekz{Uw|oWRBjY@EQx32dCe z#tCekz{Uw|oWRBjY@EQx32dCe#tCekz{Uw|oWRBjY@EQx32dCe#tCekz{Uw|oWRBj zY@EQx32dCe#tCekz{Uw|oWRBjY@EQx32dCe#tCekz{Uw|oWRBjY@EQx32dCe#tCek zz{Uw|oWRBjY@EQx32dCe#tCekz{Uw|oWRBjY@DF`PT=N5Zle26(0wQ0W%ty{_sD)g z_G5N7h3`}NK85d7_&$a2Q}{lG?^F0bh3`}NK85d7_&$a2Q}{lG?^F0bh3`}NK85d7 z_&$a2Q}{lG?^F0bh3`}NK85d7_&$YyQ}{Q9e^dB3g@04{H-&#w_&0@rQ}{Q9e^dB3 zg@04{H-&#w_&0@rQ}{Q9e^dB3g@04{H-&#w_&0@rQ}{Q9e^dB3g@04{H-&#w_&0@r zQ}{Q9e^dB3gMTylH-mpO_&0-pGx#@ye>3332H$7! zeFooW@O=i~XYhRn-)HcB2H$7!eFooW@O=i~XYhRn-)HcB2H$7!eFooW@O=i~XYhRn z-)HcB2H$7!eFooW@O=*d=J0P0|K{*-4*%xxZw~+F@NW+P=J0P0|K{*-4*%xxZw~+F z@NW+P=J0P0|K{*-4*%xxZw~+F@NW+P=J0P0|K{*-4*%xxZw~+F@NW+P=J0P0|K{*- z4*%xxZw~+F@NW+P=J0P0|K{*-4*%xxZw~+F@NW+P=J0P0|K{*-4*%xxZw~+F@NW+P z=J0P0|K{*-4*%xxZw~+F@(=xXj($5wzn!Ds&cDlEo6f&S_5-pXv$F+!U%>YTd|$x# z1$YTd|$x#1$YTd|$x#1$YTd|$x#1$YTd|$x#1$YTd|$x#1$YTd|$x#1$YTd|$x#1$YT zd|$x#1$U*P)%zF*+`1-@V4`vtyV;QIx>U*P)%zF*+`1-@V4`vtyV;QIx>U*P)%zF*+` z1-@V4-v$0%;NJ!QUEtpZ{$1eT1^!*&-v$0%;NJ!QUEtpZ{$1eT1^!*&-v$0%;NJ!Q zUEtpZ{$1eT1^!*&-v$0%;NJ!QUEtpZ{$1eT1^!*&-v$0%;NJ!QUE$vq{$1hU75-h} z-xdB{;olYhUE$vq{$1hU75-h}-xdB{;olYhUE$vq{$1hU75-h}-xdB{;olYhUE$vq z{$1hU75-h(Z?EXLSM=K}`t5a*{kxg2OJpl#Yh>{K3g55r{R-c&@cjzkukif}->>lf z3g55r{R-c&@cjzkukif}->>lf3g55r{R-c&@cjzkukif}->>lf3g55r{R-c2@b3oy zZt(90|8DT_2LEpG?*{*F@b3oyZt(90|8DT_2LEpG?*{*F@b3oyZt(90|8DT_2LEpG z?*{*F@b3oyZt(90|8DT_2LEpG?*{*F@b3oyZt(90|8DT_2LEpG?*{*F@b3oyZt(90 z|8DT_2LEpG?*{*F@b3oyZt(90|8DT_2LEpG?*{*F@b3oyZt(90|8DT_2LEpG?f`1gW;FZlO@e=qp=f`2dg_kw>f`1gW;FZlO@ ze=qp=f`2dg_kw>f`1gW;FZlO@e=qp=f`2dg_kw>f`1gW;FZlO@e=qp=f`2dg_kw>f z`1gW;FZlO@e=qp=f`2dg_kw>f`1gW;FZlO@e=qp=f`2dg_kw>f`1gW;FZlO@e=qp= zf`2dg_kw>f`Gy7C*nd`|0x6&%VB0 z`JJb)-+ATR|;@iDFa$i6Dl{*c8eXmE~|8m=ZjUU4=9zpoeU_beLzqkEl{I?e0HtE}b@_+sE zx&5bk_K)1h|H=I0$shhFb3ea-FTei`{KL<{FW5KWAeA7(NCBEp=0g0Tm1g% zpZ7EHPyJXM=CWrcVJ>@C66UgJC1EamRubm2XC+}SdsY(WvS%e>E_+rI=CWrcVJ>@C z66UgJC1EamRubm2XC+}SdsY(WvS%e>E_+rI=CWrcVJ>@C66UgJC1EamRubm2XC+}S zdsY(WvS%e>E_+rI=CWrcVJ>@C66UgJC1EamRubm2XC+}S`?HWRm;G5tm|Ohr>zQwz zD9kO9t&pveZIEq|9grQ7WzUepT=onp%w^Az!d&(YDa>WhkiuN{3@OZI&yd1g_6#Y^ zWzUepT=onp%w^Az!d&(YDa>WhkiuN{3@OZI&yd1g_6#Y^WzUepT=onp%w^Az!d&(Y zDa>WhkiuN{3@OZI&yd1g_6#Y^WzUepT=onp%w^Az!d&(YDa>WhkiuN{3@Hq9+3&Fc zP6u#0fYSk-4&ZbErvo@0!07-^2XH!o(*c|g;B)||12`SP=>Sd#a5{j~0h|utbO5IV zI32+008R&RI)KvwoDSf00H*^u9l+@TP6u#0fYSlo4B%z}Hv_mCz|8<|25>Wgn*rPm z;AQ|f1GpK$%>Zr&a5I3L0o)AWW&k$>xEa9B0B#0wGk}``+zjAm05=1;8NkgzZf1Y> z5(e3yy@bJc@b-ITKOp-tI}71^2;W2a9>Vt!zK8HVgzq7I58-Vt! zzK8HVgzq7I58-Vt!zK8HVgzq7IkKlU*-y`@Q!S@KhNANv@?-6{D z;Clq$BlsS{_Xxg6@I8X>5qyu}dj#Jj_#VOc2);+~J%aBMe2?IJ1m7e09>Mnr{zdRF zf`1YGi{M`b|04Jo!M_OpMer|ze-ZqP;9ms)BKQ}wOzFM@v&{EOgU1pgxV7s0;>{zdRFf`1YG%fr7s{L90?Jp9YUzdZcQ!@oTI%fr7s z{L90?Jp9YUzdZcQ!@oTI%fr7s{L90?Jp9YUzdZcQ!@oTI%fr7s{L90?Jp9X}-{#S8 z^XRvE^xOO*d-jxHB3mI_BZKdG_@0OFdH9}(?|Jy1hwpj#o`>&w_@0OFdH9}(?|Jy1 zhwpj#o`>&w_@0OFdH9}(?|Jy1hwpj#o`>&w_@0OFdH5c~zZm|-@GpjcG5m|+Ukv|Z z_!q;!82-iZFNS|H{EOjV4F6*I7sJ08{>AVwhJP{qi{W1k|6=$T!@n5*#qckNe=+=v z;a?2@V)z%szZm|-@GpjcG5m|+Ukv|Z_!q;!82-iZFNS|H{EOjV4F6*I7sJ08{>AVw zhJP{qi{W1k|6=$T!@n5*#qckNe=+=v;a?2@V)=)D8>8RG=(jQYZTwyK+BE(i*$>Em z%+3<{p1}76z9;ZKf$s@?PvCn3-xK(r!1n~cC-6Oi?+JWQ;Clk!6ZoFM_XNHt@I8U= z34BlBdjj7R_@2P`1imNmJ%R5Dd{5we0^bw(p1}76z9;ZKf$s@?PvCn3-xK(r!1n~c zC-6Oi?+JWQ;Clk!6ZoFM_XNHt@I8U=34BlBdjj7R_@2P`1imNmJ%R5Dd{5we0^bw( zp1}76z9;ZKf$s@?PvCn3-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v z3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@UH;>3h=K0{|fN00RIZ` zuK@oF@UH;>3h=K0{|fN00RIZ`uK@oF@UH;>3h=K0{|fN00RIZ`uK@oF@UH;>3h=K0 z{|fN00RIZ`uK@oF@UH;>3h=K0|BCRh2>*)kuL%E&@UIB}itw)p|BCRh2>*)kuL%E& z@UIB}itw)p|BCRh2>*)kuL%E&@UIB}itw)p|BCRh2>*)kuL%E&=(k1m+amgH5&gEf z$eukFm&jJg*2v&{5xy7Udl9}D;d>Fj7vXymz8B$p5xy7Udl9}D;d>Fj7vXymz8B$p z5xy7Udl9}D;d>Fj7vXymz8B$p5xy7Udl9~;@GpgbDf~;}Ukd+H_?N=J6#k{~FNJ?8 z{7d0q3jb30m%_gk{-y9Qg?}mhOW|J%|5Esu!oL*$rSLC>e<}P+;a>{>Quvp`zZCwZ z@GpgbDf~;}Ukd+H_?N=J6#k{~FNJ?8{7d0q3jb30m%_gk{-y9Qg?}mhOW|J%|5Esu z!oL*$rSLC>e<}P+;a>{>Quvp`zf}IA-=^rdDf(@Sew%)my*5q1NA?4Qk!1oG#ufX>Te6PUw3Vg4?_X>Qk!1oG#ufX>Te6PUw3Vg4?_X>Qk!1oG#ufX>T ze6PUw3Vg4?_X>Qk!1oH=tia6*+^oRO3f!!~%?jMCz|9KWtia6*+^oRO3f!!~%?jMC zz|9KWtia6*+^oRO3f!!~%?jMCz|9KWtia6*+^oRO3f!!~%?jMCz|9KWtia6*+^oRO zD%`BX%_`ii!p$n&tisJI+^oXQD%`BX%_`ii!p$n&tisJI+^oXQD%`BX%_`ii!p$n& ztisJI+^oXQD%`BX%_`ii!p$n&tisJIdT|xKxVp&xOscv>wnDZ>2H&gjy$au}@VyG( ztMI)F->dMw3g4^ny$au}@VyG(tMI)F->dMw3g4^ny$au}@VyG(tMI)F->dMw3g4^n zy$au}@VyG(tMI)F->dMw3g4^ny$au}@Vy4#Yw*1W-)r!_2H$J&y$0WF@Vy4#Yw*1W z-)r!_2H$J&y$0WF@Vy4#Yw*1W-)r!_2H$J&y$0WF@Vy4#Yw*1W-)r!_2LEdCuLl2W z@UI5{YVfZH|7!5B2LEdCuLl2W@UI5{YVfZH|7!5B2LEdCuLl2W@UI5{YVfZH|7!5B z2LEdCuLl2W@UI5{YVfZH|7!5B2LEdCuLl2W@UIU4>hP})|LX9s4*%-#uMYp}@UIU4 z>hP})|LX9s4*%-#uMYp}@UIU4>hP})|LX9s4*%-#uMYp}@UIU4>hP})|LX9sj(%H5 zzpbO+*3ob4i|j61Um{x}TO)(-b@*O~?{)ZIhwpXxUWe~>_+E$ab@*O~?{)ZIhwpXx zUWe~>_+E$ab@*O~?{)ZIhwpXxUWe~>_+E$ab@*O~?{)azfPW46*MNTw_}74c4fxl9 ze+~H8fPW46*MNTw_}74c4fxl9e+~H8fPW46*MNTw_}74c4fxl9e+~H8fPW46*MNTw z_}74c4fxl9e+~H8fPW46*MNTw_}74c4fxl9e+~H8fPW46*MNTw_}74c4fxl9e+~H8 zfPW46*MNTw_}74c4fxl9e+~H8fPW46*MNTw_}74c4fxl9e+~JEe%nC5ZJ^&a&~F>x zWv@*e-y{10*^k*-6TUa$dlSAl;d>LlH{p8|zBl1}6TUa$dlSAl;d>LlH{p8|zBl1} z6TUa$dlSAl;d>LlH{p8|zBl1}6TUa$dlSAl;d>LlH{p8|zBl1}6TUa$dlSAl;d>Ll zH{p8|zBl1}6TUa$dlSAl;d>LlH{p8|zBl1}6TUa$dlSAl;d>LlH{p8|zBl1}6TUa$ zdlSAl;d>LlH{p8|zBl1}6TUa$dlSAl;d>LlH{p8|zBl1}3%jtx8ZvmzPI6f8@{*UdmFyD;d>jtx8ZvmzPI6f8@{*U zdmFyD;d>jtx8ZvmzPI6f8@{*UdmFyD;d>jtx8ZvmzPI6f8@{*UdmFyD;d>jtx8Zvm zzPI6f8@{*UdmFyD;d>jtx8ZvmzPI6f8@{*UdmFyD;d>jtx8ZvmzPI6f8@{*UdmFyD z;d>jtx8ZvmzPI6f8@{*UdmFyD;d>jtx8ZvmzPI6f2flaUdk4OE;ClzYci?*mzIWhz z2flaUdk4OE;ClzYci?*mzIWhz2flaUdk4OE;ClzYci?*mzIWhz2flaUdk4OE;Cl!D zb>LqI{&nDA2mW>7UkCnm;9m#+b>LqI{&nDA2mW>7UkCnm;9m#+b>LqI{&nDA2mW>7 zUkCnm;9m#+b>LqI{&nDA2mW>7UkCnm;9m#+b>LqI{&nDA7yfnOUl;y$;a?a2b>UwZ z{&nGB7yfnOUl;y$;a?a2b>UwZ{&nGB7yfnOUl;y$;a?a2b>UwZ{&nGB7yfnOUl;y$ z;a?a1wu^q-MZfK$-*y+-KReQ0B3mI_BZKc<_}+!@UHIOG?_K!bh3{SX-i7a7_}+!@ zUHIOG?_K!bh3{SX-i7a7_}+!@UHIOG?_K!bh3{SX-i7a7_}+!@UHIOEe?9ougMU5v z*MomO_}7DfJ^0sye?9ougMU5v*MomO_}7DfJ^0sye?9ougMU5v*MomO_}7DfJ^0sy ze?9ougMU5v*MomO_}7DfJ^0sye?9ougMU5v*MomO_}7DfJ^0sye?9ougMU5v*MomO z_}7DfJ^0sye?9ougMU5v*MomO_}7DfJ^0sye?9ougMU5v*MomO_}7DfJ^6=z+e5$Y zq2Knvx_u+dVzW3pKAHMhDdmp~{ z;d>vx_u+dVzW3pKAHMhDdmp~{;d>vx_u+dVzW3pKAHMhDdmp~{;d>vx_u+dVzW3pK zAHMhDdmp~{;d>vx_u+dVzW3pKAHMhDdmp~{;d>vx_u+dVzW3pKAHMhDdmp~{;d>vx z_u+dVzW3pKAHMhDdmp~{;d>vx_u+dVzW3pKAHMhDdmp~{;d>vx_u=~hz7OF00KO04 z`vATV;QIi+58(R%z7OF00KO04`vATV;QIi+58(R%z7OF00KO04`vATV;QIi+58(R% zz7OF00KO04`vCq8;NJlL4dCAZ{te*Y0R9c&-vIs%;NJlL4dCAZ{te*Y0R9c&-vIs% z;NJlL4dCAZ{te*Y0R9c&-vIs%;NJlL4dCAZ{te*Y0R9c&-vIs%;NJlL4dCAp{te;Z z5dIC}-w^%{;olJc4dLGq{te;Z5dIC}-w^%{;olJc4dLGq{te;Z5dIC}-w^%{;olJc z4dLGq{te;Z5dIC}-w^$Fh<-anza66A4j0+8r{NOW3fUSNd>_L1A$%Xg_aS^A!uKJ3 zAHw$`d>_L1A$%Xg_aS^A!uKJ3AHw$`d>_L1A$%Xg_aS^A!uKJ3AHw$`d>_L1A$%Xg z_YwRX!M_pw8^OO3{2Rf)5&Rp$zY+W!!M_pw8^OO3{2Rf)5&Rp$zY+W!!M_pw8^OO3 z{2Rf)5&Rp$zY+W!!M_pw8^OO3{2Rf)5&Rp$zY+W!!M_pw8^OO3{2Rf)5&Rp$zY+W! z!M_pw8^OO3{2Rf)5&Rp$zY+W!!M_pw8^OO3{2Rf)5&Rp$zY+W!!M_pw8^OO3{2Rf) z5&Rp;KlIxX`t1n)c7%RA`YwBII{F^j56FJZ&c^V44ByA_eGK2n@O=#5$MAg&-^cKM z4ByA_eGK2n@O=#5$MAg&-^cKM4ByA_eGK2n@O=#5$MAg&-^cKM4ByA_eGK2n@O=#5 z$MAg&-^cKM4ByA_eGK2n@O=#5$MAg&-^cKM4ByA_eGK2n@O=#5$MAg&-^cKM4ByA_ zeGK2n@O=#5$MAg&-^cKM4ByA_eGK2n@O=#5$MAg&-^cKM4Bsbka{@OfaB~7TCvbBD zHz#m&0yigca{@OfaB~7TCvbBDHz#m&0yigca{@OfaB~7TCvbBDHz#m&0yigca{@Of zaB~7TCvbBDHz#m&0yigca{@OfaB~7TCvbBDHz#m&0yigca{@OfaB~7TCvbBDHz#m& z0yigca{@OfaB~7TCvbBDHz#m&0yigca{@OfaB~7TCvbBDHz#m&0yigcb0Rm&o58;s{F}kQ8T^~UzZv|S!M_>& zo58;s{F}kQ8T^~UzZv|S!M_>&o58;s{F}kQ8T^~UzZv|Sq2JEXZ)fPYGxXcpB71E* zTOwN_TO)(-Gx$D(?=$#5gYPr=K7;Qw_&$U0Gx$D(?=$#5gYPr=K7;Qw_&$U0Gx$D( z?=$#5gYPr=K7;Qw_&$U0Gx$D(?=$#5hktYUH-~?7_&0}tbNDxhe{=XZhktYUH-~?7 z_&0}tbNDxhe{=XZhktYUH-~?7_&0}tbNDxhe{=XZhktYUH-~?7_&0}tbNDxhe{=XZ zhktYUH-~?7_&0}tbNDxhe{=XZhktYUH-~?7_&0}tbNDxhe{=XZhktYUH-~?7_&0}t zbNDxhe{=XZhktYUH-~?7_&0}tbNDxhe{=bVemh6Moul8*(QoJ9Wv@-=-y{10*^k-T z0=_Tc`vSf%;QIo;FW~zEzAxbW0=_Tc`vSf%;QIo;FW~zEzAxbW0=_Tc`vSf%;QIo; zFW~zEzAxbW0=_Tc`vSf%;QIo;FW~zEzAxbW0=_Tc`vSf%;QIo;FW~zEzAxbW0=_Tc z`vSf%;QIo;FW~zEzAxbW0=_Tc`vSf%;QIo;FW~zEzAxbW0=_Tc`vSf%;QIo;FW~zE zzAxbW0=_Tc`vSf%;QIo;FW~zEzAxbW6233t`x3q{;rkN4FX8(VzAxeX6233t`x3q{ z;rkN4FX8(VzAxeX6233t`x3q{;rkN4FX8(VzAxeX6233t`x3q{;rkN)E#co1{w?9( z68E7Z{;lBO3jVF& z-wOV%;NJ@Vt>E7Z{;lBO3jVF&-wOV%;NJ@Vt>E7Z{;lBO3jVF&-wOV%;NJ@Uc7=Ys zLcd+1->w$fv!~S(*$UYj8GK*C_Z56!!S@w>U%~ekd|$!$6?|X8_Z56!!S@w>U%~ek zd|$!$6?|X8_Z56!!S@w>U%~ekd|$!$6?|X8_Z56!!S^-%Tf@IK{9D7nHT+w{zcu_@ z!@o8BTf@IK{9D7nHT+w{zcu_@!@o8BTf@IK{9D7nHT+w{zcu_@!@o8BTf@IK{9D7n zHT+w{zcu_@!@o8BTf@IK{9D7nHT+w{zcu_@!@o8BTf@IK{9D7nHT+w{zcu_@!@o8B zTf@IK{9D7nHT+w{zcu_@!@o8BTf@IK{9D7nHT+w{zcu_@%RltnHTvxu{dSFhyZ$bF zZMyy**$>Em%+5COeFNV&@O=Z{H}HJ}-#74m1K&6BeFNV&@O=Z{H}HJ}-#74m1K&6B zeFNV&@O=Z{H}HJ}-#74m1K&6BeFNV&@O=Z{H}HJ}-#74m1K&6BeFNV&@O=Z{H}HJ} z-#74m1K&6BeFNV&@O=Z{H}HJ}-#74m1K&6BeFNV&@O=Z{H}HJ}-#74m1K&6BeFNV& z@O=Z{H}HJ}-#74m1K&6BeFNV&@O=Z{H}HJ}-#74m1K+pseGA{W@O=y4xA1)n-?#96 z3*Wc!eGA{W@O=y4xA1)n-?#963*Wc!eGA{W@O=y4xA1)n-?#963*Wc!eGA{W@O=y4 zxA1)n-?#963*Wc!eGA{W@O=y4xA1)n-?#963*Wc!eGA{W@O=y4xA1)n-?#963*Wc! zeGA{W@O=y4xA1)n-?#963*Wc!eGA{W@O=y4xA1)n-?#963*Wc!eGA{W@O=y4xA1)n z-?#963*UF}eFxun@O=m0ckq1&-*@nR2j6$_eFxun@O=m0ckq1&-*@nR2j6$_eFxun z@O=m0ckq1&-*@nR2j6$_eFxun@O=m0ckq1&-*@nR2j6$_eFxun@O=m0ckq1&-*@nR z2j6$_eFxun@O=m0ckq1&-*@nR2j6$_eFxun@O=m0ckq1&-*@nR2j6$_eFxun@O=m0 zckq1&-*@nR2j6$_eFxun@O=m0ckq1&-*@nR2jBPbeGlLF@O=;8_waoW-}mr+58wCj zeGlLF@O=;8_waoW-}mr+58wCjeGlLF@O=;8_waoW-}mr+58wCjeGlLF@O=;8_waoW z-}mr+58wCjeGlLF@O=;8_waoW-}mr+58wCjeGlLF@O=;8_waoW-}mr+58wCjeGlLF z@O=;8_waoW-}mr+58wCjeGlLF@O=;8_waoW-}mr+58wCjeGlLF@O=;8_waoW-}mr+ z58n^){Q%z&@cjVa5Agi}-w*Kp0N)Sr{Q%z&@cjVa5Agi}-w*Kp0N)Sr{Q%z&@cjVa z5Agi}-w*Kp0N)Sr{Q%z&@cjVa5Agi}-w*Kp0N)Sr{Q%z&@cjVa5Agi}-w*Kp0N)Sr z{Q%z&@cjVa5Agi}-w*Kp0N)Sr{Q%z&@cjVa5Agi}-w*Kp0N)Sr{Q%z&@cjVa5Agi} z-w*Kp0N)Sr{Q%z&@cjVa5Agi}-w*Kp0N;=B{RrQW@cjtikMR8n-;eP92;YzJ{RrQW z@cjtikMR8n-;eP92;YzJ{RrQW@cjtikMR8n-;eP92;YzJ{RrQW@cjtikMR8n-;eP9 z2;YzJ{RrQW@cjtikMR8n-;eP92;YzJ{RrQW@cjtikMR8n-;eP92;YzJ{RrQW@cjti zkMR8n-;eP92;YzJ{RrQW@cjtikMR8n-;eP92;YzJ{RrQW@cjtikMR8n-;eP92;Wce z{RH1n@cjhePw@Q&-%s%U1m92a{RH1n@cjhePw@Q&-%s%U1m92a{RH1n@cjhePw@Q& z-%s%U1m92a{RH1n@cjhePw@Q&-%s%U1m92a{RH1n@cjhePw@Q&-%s%U1m92a{RH1n z@cjhePw@Q&-%s%U1m92a{RH1n@cjhePw@Q&-%s%U1m92a{RH1n@cjhePw@Q&-%s%U z1m92a{RH1n@cjhePw@Q&-%s%U1mDl_{S4pF@cj(m&+z>W-_P*<4ByZ2{S4pF@cj(m z&+z>W-_P*<4ByZ2{S4pF@cj(m&+z>W-_P*<4ByZ2{S4pF@cj(m&+z>W-_P*<4ByZ2 z{S4pF@cj(m&+z>W-_P*<4ByZ2{S4pF@cj(m&+z>W-_P*<4ByZ2{S4pF@cj(m&+z>W z-_P*<4ByZ2{S4pF@cj(m&+z>W-_P*<4ByZ2{S4pF@cj(m&+z>W-_P*<4Bs#C{Q}=F z@cjbcFYx^W-!Jg}0^cw2{Q}=F@cjbcFYx^W-!Jg}0^cw2{Q}=F@cjbcFYx^W-!Jg} z0^cw2{Q}=F@cjbcFYxaI|1R+F0{<@X?*jiW@b3cuF7WRH|1R+F0{<@X?*jiW@b3cu zF7WRH|1R+F0{<@X?*jiW@b3cuF7WRH|1R+F0{<@X?*jiW@b3cuF7WRH|1R+F0{^b? z?+X8}@b3!$uJG>)|E}=w3jeO~?+X8}@b3!$uJG>)|E}=w3jeO~?+X8}@b3!$uJG>) z|E}=w3jeO~?+X8}@b3!$uIRT{^xG@??G^p@y2$?BOxGo{6|yxl____G2&gMT;pcY}X7_;-VUH~4phe>eDdgMT;pcY}X7_;-VUH~4phe>eDdgMT;p zcY}X7_;-VUH~4phe>eDdgMT;pcY}X7_;-VUH~4phe>eDdgMT;pcY}X7_;-VUH~4ph ze>eDdgMT;pcY}X7_;-VUH~4phe>eDdgMT;pcY}X7_;-VUH~4phe>eDdgMT;pcY}X7 z_;-VUH~4pxf9SV2^xGTy?G63*_FeYc^!7cnACUc+o!#O49lqb;`yIaD;rkuF-{Jcm zzTe^d9lqb;`yIaD;rkuF-{JcmzTe^d9lqb;`yIaD;rkuF-{JcmzTe^d9lqb;`yIaD z;rkuF-{JcmzTe^d9lqb;`yIaD;rkuF-{JcmzTe^d9lqb;`yIaD;rkuF-{JcmzTe^d z9lqb;`yIaD;rkuF-{JcmzTe^d9lqb;`yIaD;rkuF-{JcmzTe^d9lqb;`yIaD;rkuF z-{JcmzCYmm1HM1t`vbl|;QIrcI1{ypH|1O7eW-vjcI1 z{ypH|1O7eW-vjcI1{ypH|1O7eW-vjcI1{ypH|1O7eW-vjcI1{ypK}6aGEn-xK~l;olSfJ>lOI{ypK}6aGEn-xK~l;olSfJ>lOI{ypK} z6aGEn-xK~l;olSfJ>lOI{ypK}6aGEn-xK~l(QlvVw@>ujC;IJkkv)5QE|IN}t&zd^ zCwzax_a}UR!uKb9f5P`Ce1F3CCwzax_a}UR!uKb9f5P`Ce1F3CCwzax_a}UR!uKb9 zf5P`Ce1F3CCwzax_a}UR!M_*$d%?dK{CmN_7yNs{zZd*_!M_*$d%?dK{CmN_7yNs{ zzZd*_!M_*$d%?dK{CmN_7yNs{zZd*_!M_*$d%?dK{CmN_7yNs{zZd*_!M_*$d%?dK z{CmN_7yNs{zZd*_!M_*$d%?dK{CmN_7yNs{zZd*_!M_*$d%?dK{CmN_7yNs{zZd*_ z!M_*$d%?dK{CmN_7yNs{znA<&zkQ+KzR+)9=(n%$ve%}s?~(n0?8ofv4d37J{SDvW z@cj+n-|+nn-{0{44d37J{SDvW@cj+n-|+nn-{0{44d37J{SDvW@cj+n-|+nn-{0{4 z4d37J{SDvW@cj+n-|+nn-{0{44d37J{SDvW@cj+n-|+nn-{0{44d37J{SDvW@cj+n z-|+nn-{0{44d37J{SDvW@cj+n-|+nn-{0{44d37J{SDvW@cj+n-|+nn-{0{44d37J z{SDvW@cj+n-|+nn-{0{41K&UJ{R7`W@cjedKk)qn-#_sE1K&UJ{R7`W@cjedKk)qn z-#_sE1K&UJ{R7`W@cjedKk)qn-#_sE1K&UJ{R7`W@cjedKk)qnH$QOm12;c#^8+_O zaPtEou{wgdF9*XU!Uv4zx3Oi{_C^NKW*`^Zto-ai`Oe$e2f2azP`Qo z#c$uj(@(Ge=kNX=_mlnN+r2$xim$yYABmwe^2d&yTW zyO(_BvU|x_F1wd}<+A(5S1!9>eC4wH#aAx7Lwx13d%{;Py9<2fvb(@nF1rhS<+8iL zS1!8?eC4vcz*jE23w-6WyTDg2y9<2fvb(@nF1rhS<+9iNU%Bk{{#TGafBFh=Tncbp z3Zm@yLy$*?<5`eBfBFit=TBcj5&vF_EPMX+6=ct!z5*O~gY5a!SAb)3kUf9;3bN-< zUjdHgLH7LVE6AQdeFZQefB`}F{OK#mo_7W1=*i3 zeFfQ{FMS0I?1M{W@GpRW0Xz!eQ2>tum=k2ryS{?#dDm9}j{m+4HWiAbZ~R z6?}*H=J&{cK=xyH7Q*QePKR(hgvBAe4dHDFZ$o$+!rKtuhVV9ow;{X@;cWp*xM#qa`P7HHm zm=nXC80N$n+e=Z;AR3h6S$ec%>-^Ha5I6M3EWKJW&$@8xS7Ds1a2m9Gl824+)Vx| zjuUV*ftv~3OyFh$Hxsy-z|911CU7%>n+e=Z;AR3h6S$ec%>-^Ha5I6M3EWKJW&$@8 zxS7Ds1a2m9Gl824+)UtR0d5xHW&v&%;AR1C7T{(9ZWiEX0d5xHW&v&%;AR1C7T{(9 zZWiEX0d5xHW&v&%;AR1C7T{(9ZWiEX0d5xHW&y4g;7S3m6wt>Cm=6|UP66f=U`_$% z6ktvP<`iH~0p=87P66f=U`_$%6ktvP<`iH~0p=87P66f=U`_$%6ktvP<`iH~0p=87 zP66f=U`_$%6k$#g<`iL05#|(OP7&r5VNMa|6k$#g<`iL05#|(OP7&r5VNMa|6k$#g z<`iL05#|(OP7&r5VNMa|6k$#g<`mJ@is))ZbhRS7T5*xxbBar3=xW6^z7M7rVQTR& z<39fiGFV(h$1B3=BAhP5=^`vH!r~$_l~i!&=uCmHV+sfG{O?b#Q?({m|@2DUu!L_#*xh z@kPY{7hhzabKu`K{JVyK*YNKe{$0brYx#$I^BVQ$HR{c4)SK6zz|Bt)`wX$qPsbAQ zJptbn@I3+F6YxC&-xKgX0pAnwJptbn@I3+F6YxC&-xKgX0pAnwJptbn@I3+F6YxC& z-xKgX0pAnwJptbn@I3+F6YxC&-xKgX0pAnwJptbn@I3+F6YxC&-xKgX0pAnwJptbn z@I3+F6YxC&-xKgX0pAnwJptbn@I3+F6YxC&-xKgX0pAnwJptbn@I3+F6YxC&-xKgX z0pAnwJptbn@I3+F6YxC&-xKgX0pFAGJqh2F@I49NlkhzW-;?k?3Ez|OJqh2F@I49N zlkhzW-;?k?3Ez|OJqh2F@I49NlkhzW-;?k?3Ez|OJqh2F@I49NlkhzW-;?k?3Ez|O zJqh2F@I49NlkhzW-;?k?3Ez|OJqh2F@I49NlkhzW-;?k?3Ez|OJqh2F@I49NlkhzW z-;?k?3Ez|OJqh2F@I49NlkhzW-;?k?3Ez|OJqh2F@I49NlkhzW-;?k?3EyvE+zrgR zfe$zE;RgNu4f^>T^t(6ccW==FcBEiO3j2`4KBVA73jK8o{dEfcbqf7; zO8G>8okD+|LVuk?f1N^qokD+|LVuk?f1N^qokD+|LVuk?f1N^qokD+|LVuk?f1N^q zokD+|LVuk?f1N^qokD+|LVuk?f1N^qokD+|LVuk?f1N^qokD+|LVukOoqiUZ4kL!X zE*-^ksK?T%$I|FO)2PSNsK?TcFAe|F@GlMj((o@0|I+X; z4gb>cFAe|F@GlMj((o@0|I+X;4gb>cFAe|F@GlMj((o@0|I+X;4gb>cFAe|F@GlMj z((o@0|1$6|1OGDcF9ZKF@Gk@7GB7R!<1#QV1LHCEDOi7a4ZYQvT!U5$FguN3&*l> zEDOi7a4ZYQvT!U5$FguN3&*l>EDOi7a4ZYQvT!U5$FguN3&*l>EDOi7a4ZYQvT!U5 z$FguN3&*l>EDOi7a4ZYQvT!U5$FguN3&*l>EDOi7a4ZYQvT!U5$FguN3&*l>EDOi7 za4ZYQvT!Ub$54xAQHy3#i)K-aW(bLb_ zi*ULKr;Bj92&ap1x(KI>aJmSmi*ULKr;Bj92&ap1x(KI>aJmSmi*ULKr;Bj92&ap1 zx(KI>aI6T&ig2t5$BJ;Q2*-+WtO&=7aI6T&ig2t5$BJ;Q2*-+WtO&=7aI6T&ig2t5 z$BJ;Q2*-+WtO&=7aI6T&ig2t5$BJ;Q2*-+WtO&=7aI6T&ig2t5$4YRl1jkBntOUnO zs6|Wh)Bcs_+EnVCHP)~ z?_+EnVCHP)~?_+EnVCHP)~?hS=w)V-+}EfzuT@U5R3SFuZ~~wF2KO@Vx@xEAYJn-z)IF z0^cj}y#n7W@Vx@xEAYJn-z)IF0^cj}y#n7W@Vx@xEAYJn-z)IF0^cj}y#n7W@Vx@x zEAYJn-z)IF0^cj}y#n7W@Vx@xEAYJn-z)IF0^cj}y#n7W@Vx@xEAYJn-z)IF0^cj} zy#n7W@Vx@xEAYJn-z)IF0^cj}y#n7W@Vx@xEAYJn-z)IF0^cj}y#n7W@VyG(tMI)F z->WdZ3a6`Zx(cVOaJmYot8lssr>k(f3a6`Zx(cVOaJmYot8lssr>k(f3a6`Zx(cVO zaJmYot8lss$Et9w3dgE&tP01faI6Z)s&K3d$Et9w3dgE&tP01faI6Z)s&K3d$Et9w z3dgE&tP01faI6Z)s&K3d$Et9w3dgE&tP01faI6Z)s&K3d$Et9w3dgE&tOmzwaI6N$ zYSGhmY7NHKP^Z@5Uk(1%;9m{?)!<(Z{?*`L4gS^OUk(1%;9m{?)!<(Z{?*`L4gS^O zUk(1%;9m{?)!<(Z{?$;Y)=;O`P^Z>Vr`7^oD_z8Ti1iVJ?=|>dgYPx?UW4y7_+EqW zHTYhG?=|>dgYPx?UW4y7_+EqWHTYhG?=|>dgYPx?UW4y7_+EqWHTYhG?=|>dgYPx? zUWb2m_*aL2b@*3@e{~pFhjDcnSBG(R7*~gJbr@HNadjA1hjDcnSBG(R7*~gJbr@HN zadjA1hjDcnSBG(R7*~gJbr@HNadjA1hjDcnSBG(R7*~gJbr@HNadjA1hjDcnSBG(R z7*~gJbr@HNadjA1hjDcnSBG(R7*~gJbr@HNadjA1hjDcnSBG(R7*~gJbr@HNadjA1 zmvN|J>!@MtsA21Ukm=V;9m>=wcuY1{Ukm=V;9m>=wcuY1{Ukm=V;9m>=wcuY1{Ukm=V;9m>=wcuY1{Ukm=V;a?m6 zwc%eI{8~(N7UmO0l;a?m6wc%eI{8~(N7UmO0l;a?m6wc%eI{8~(N7 zUmO0l;a?m6wc%eI{%hMb{OiEK4*cuDzYhHCz`qXs>%hMb{OiEK4*cuDzYhHCz`qXs z>%hMb{OiEK4*cuDzYhHCz`qXs>%hMb{OiEK4*cuDzYhHCz`qXs>%hMb{OiEK4*cuD zzYhHCz`qXs>%hMb{OiEK4*cuDzYhHCz`qXs>%hMb{OiEK4*cuDzYhHCz`qXs>%hMb z{OiEKj{HM?+d+NXL4DgnecSou^u1~4Q^Y<)?DNyH0KNzCJ%H~4d=KDz0N(@n9>Dhi zz6bCDhiz6bCDi5eDA{d zE`0C8_bz%zY-{OiKMF8u4lzb^di!oM#3>%zY-{OiKMF8u4l zzb^di!oM#3>%zY-{OiKMF8u4lzb^di!oM#3>%zY-{OiKMF8u4lzb^di!oM#3>%zYt z{OiHL9{lUUzaISS!M`5->%qSs{OiHL9{lUUzaISS!M`5->%qSs{OiHL9{lUUzaISS z!M`5->%qSs{OiHL9{lUUzaHw_9_rg3>f0Xb+g@<`*;B8JSP!v2V(`5O-+S=A2j6?} zy$9cW@Vy7$d+@yn-+S=A2j6?}y$9cW@Vy7$d+@yn-+S=A2j6?}y$9cW@Vy7$d+@yn z-+S=A2jBbfuMhwF@UIX5`tYw0|N8K+5C8h`uMhwF@UIX5`tYw0|N8K+5C8h`uMhwF z@UIX5`tYw0|N8K+5C8h`uMhwF@UIX5`tYw0|N8K+5C8h`uMhwF@UIX5`tYw0|N8K+ z5C8h`uMhwF@UIX5`tYw0|N8K+5C8h`uMhwF@UIX5`tYw0|N8K+5C8h`uMhwF@UIX5 z`tYw0|N8K+FaJ>A_EF#VQQ!7a-}XN_eQ(F@O=v3r|^9W->2|>3g4&jeG1>F@O=v3r|^9W->2|>3g4&jeG1>F@O=v3 zr|^9W->2|>3g4&jeG1>F@O=v3r|^9W->2|>3g4&jeG1>F@O=v3r|^9W->2|>3g4&j zeG1>F@O=v3r|^9W->2|>3g4&jeG1>F@O=v3r|^9W->2|>3g4&jeG1>F@O=v3r|^9W z->2|>3g4&jeG1>F@O=v3r|^9W->2|>2H$7!eFooW@O=i~XYhRn-)HcB2H$7!eFooW z@O=i~XYhRn-)HcB2H$7!eFooW@O=i~XYhRn-)HcB2H$7!eFooW@O=i~XYhRn-)HcB z2H$7!eFooW@O=i~XYhRn-)HcB2H$7!eFooW@O=i~XYhRn-)HcB2H$7!eFooW@O=i~ zXYhRn-)HcB2H$7!eFooW@O=i~XYhRn-)HcB2H$7!eFooW@O=i~XYhRn-)HcB4&UeS zeGcE}@O=*7=kR?F-{Qlr%L+ta@u{C^O!}m3OU&Hq`d|$)&HGE&g_ceT9!}m3OU&Hq`d|$)&HGE&g_ceT9 z!}m3OU&Hq`d|$)&HGE&g_ceT9!}m3OU&Hq`d|$)&HGE&g_ceT9!}m3OU&Hq`d|$)& zHGE&g_ceT9!}m3OU&Hq`d|$)&HGE&g_ceT9!}m3OU&Hq`d|$)&HGE&g_ceT9!}m3O zU&Hq`d|$)&HGE&g_ceT9!}m3OU&Hq`d|$)&HGE&g_YHjC!1oP&-@x|`eBZ$L4Se6g z_YHjC!1oP&-@x|`eBZ$L4Se6g_YHjC!1oP&-@x|`eBZ$L4Se6g_YHjC!1oP&-@x|` z{M*344gA}{zYYA`z`qUr+rYmK{M*344gA}{zYYA`z`qUr+rYmK{M*344gA}{zYYA` zz`qUr+rYmK{M*344gA}{zYYA`z`qUr+rYmK{M*344gA}{zb*XR!oMy2+rqys{M*96 zE&SWUzb*XR!oMy2+rqys{M*96E&SWUzb*XR!oMy2+rqys{M*96E&SWUzb*XR!oMy2 z+rqys>f0^q+b!zbE$Z8CaQfNPwu@K~u|8t(eGA{W@O=y4xA1)n-?#963*Wc!eGA{W z@O=y4xA1)n-?#963*Wc!eGA{W@O=y4xA1)n-?#963*Wc!eGA{W@O=y4ckpiq|90?i z2mf~PZwLQ&@NWnIcJOZp|90?i2mf~PZwLQ&@NWnIcJOZp|90?i2mf~PZwLQ&@NWnI zcJOZp|90?i2mf~PZwLQ&@NWnIcJOZp|90?i2mf~PZwLQ&@NWnIcJOZp|90?i2mf~P zZwLQ&@NWnIcJOZp|90?i2mf~PZwLQ&@NWnIcJOZp|90?i2mf~PZwLQ&@NXyoP~YxQ z-|kS~?oi+EJ~@4Fy89Hd&k+0kbZigb_waoW-}mr+58wCjeGlLF@O=;8_waoW-}mr+ z58wCjeGlLF@O=;8_waoW-}mr+58wCjeGlLF@O=;8_waoW-}mr+58wCjeGlLF@O=;8 z_waoW-}mr+58wCjeGlLF@O=;8_waoW-}mr+58wCjeGlLF@O=;8_waoW-}mr+58wCj zeGlLF@O=;8_waoW-}mr+58wCjeGlLF@O=;8_waoW-}mr+58wCjeGlLF@cjVa5Agi} z-w*Kp0N)Sr{Q%z&@cjVa5Agi}-w*Kp0N)Sr{Q%z&@cjVa5Agi}-w*Kp0N)Sr{Q%z& z@cjVa5Agi}-w*Kp0N)Sr{Qx%)aPt5+4{-AUHxF?005=bC^8hywaPt5+4{-AUHxF?0 z05=bC^8hywaPt5+4{-AUHxF?005=bC^8hywaPt5+4{-AUHxF?005=bC^8hywaPt5+ z4|4NwoUWMKoaSN8iX= z-;=}#;|y#<_xiPq;J6K&(4(Jx$D8sNSJ(MnZ?W;W)bt%U_g~MB zS0p%wUgh7>w5$8AeR;}L*CHqCzkBD&<=y%>p^yH+ms@$C$MWNEX6qk)jnziJ#u;>> z*c)r<+|WDBzytU0so=Q&X znoa0~dan0xzWL0(OpA|SW~PVdxm)z^-v|3j{rJ1wwR89MF0=j3uj_$+Egu{=>%;2T zGQafAXZkg_;OpOUyylAE_G0<}_HD1>i}v3_4!`mt=@%xer?=v@B5%6A*V>5pe4%3w-{^V$LVM5pwYT`WUg*U4 z^zMDG*F0|82lfmp^PS@^yFs@o{)Iws z`ZoMcw|6>C?==y*IphuixA|JSK1WmgKn4AE-L-^q3se`1titp9hzG z)9pRDlJmsZ%)kTwkAh=cch4jqz0l_SJAUzpp6|*#*oSZ^eEWQL$FJId`E_snZo-p^ zzwNI7iamMz#d!Q~{7dZ}=lS8+i(jC7kH$04Kj(kz+Z=>Kkx-=fJa1pQzTlJN_fvoR zZuTd$KCNrN7?0eItG&Ag&#!Md0}u3TKNB3c>BIVNcx(Gk)BNI<^9EP`_MahLocLMD zd(V*m+_UrKdH?hV_wt)+s`VC++`WDJK<~rv?dN&IvkW}Y*Zzgz82hlk%a?iYepcks zv*U9feET!-z%}&q!Ev)ctZOLphu`Uuc=*TAUTG5^z0%z0nZL;lJa7&DLU7!m59=CA zMc-kLKhVz}-eCscvsFEC4gIy?xDOxHH56R`_wU(ZIdATJl!5!#Q20*=$L&8}Lm$xZ zCAj&A@8FQUljj!Cj@MiK{!;YBv!~$ryLjS=U7m=&_{=K&XFt62tN9P!!TI$DDul1z z%6D*n;rF%05xYDQd-42=M4z2sAJ8+=%)j>4NABA;$2;i`zim7HUT)9*dw|FXcn^^O z_?;e{H+TBqYw7Lx(Xp4bJo4P~52&ww$LGnLQ~k}g^iD67AFY+$?`vbp;Fy9BtKQ1| z&iC-BK6+@I-$7q{;`d~~cwZZP-q(IWb$M#@P|n}lw71wpzWwv$;>7Q=zIUEH|L)KS zWOC>GZ|$6TyA8j^Gw$tf_>WTS_f3vJdA{~ReU1>yzK7@H&5zP)_+Ibp1J}?`2gg17 zu&$x@AN-acr1SR}zoiG}RW|E^Yv=>~esB8UeU+TN!+Fo&A9;t#ep{=$e+^xHfcJ9k z-~G1o_xkOg33~n9eY=)?;2OFNj+^*l-OHu_^>0_!@3!Vl(6{fHyxtZ)a1DKc^-$}Z zs)x?lyxwW~UM=;&HS_`2L#c179y(+5UR@2Zx3TxHq00}j9%_99_0XB1*E=KMzoj0y zhCaZ0DD@50LuZ2CzpLT(w)lZ-=mV^WUN1K%m-@|*KY#Px$(hfA4?P3-uc50C@Lulb zE!@kU@6|r^YvX12?}2OR1H6}OzJ+_a^S#>3o?{>Sd=FehKN}o(`osDTd-jjK+2i;q z^ytkt_|mOCJ6=!j6@ueZFZ=K1|CagH&mZT#hwT0HXz=7r`^|+O zXT#&5`Zs>_{rTNDl!4&5-p9YW?(Dz%o9|zI_vmiImwpE6$&?>n{BDl(>kVfh{OL{O|BAUkg^e6Lx~u{WN9!n3~x^rgp_&)4$k z`J40boPpQLzytkSH8?i(em_II+k+o|)qd=U$6kCNocpyGQ}PbRYyb4=N>21^$AfZy zz3U8QM_+r5v11G8Cpcd_jX%^t^oQQR{@d@r{-Wn4KimvF;Q!;`xV!JS|1Tb|^8fnc zlqXM{kMvw69zFSH!1M9MqvN~d^u+J}^7QBNtGn;pK1kM!YdDWP1IhpW#rWvyr!tR6 zp-=8Y$Km5A!@u2qHVi(wI}Be;e;$86|J}^z*DGb<(U<4G{`&rZxxw?;t7qWRmlw%@ z|I6bqHTLMG<~q;xBcFi>{QtATF)PQPrwV->O8ub^Cqd2s^ELM6E)}PK!semop4=up z6gW?NCy2cf z@t41F~*TB$W8+&`&>piu`f#i>l$MYMlV}Et`HuBSv$B&;*dwjRl@xLd1 z*YU_bng7YeyTJMNwK9a-;;^Y2G8Cy36^~*OPZwR~Mnlas1Jfe@}yV$LQ(!apL*) zbu!QzJ+Soi^ZkY4)9Ntx=!+%p;=!}A-f?(0em6Y7zE%dZ5B+YKr^R~Yi=zE1c!I|! z919|mAP7A=jvoh4O8AeS4et)lxug%hiC6YtzSqhRjz3CvOmOIK*gN(}Plm_wUrtP7 zgU~OBCV%+5p@GW@wG!*KfV|JFZo^Cy1) zKX3o?kN+?AKl;c2qsU)O|5RlDoj(=&^NH_9CLf1?<~V=kSH5$;PF_stE04GSj~5q- z{oL`G1m6iiIVQd1@a~u#hv~onAO6Y2AN{BQy#4q7@UPT=>fia}`MYD%`_Avl{`tfp z5`6r7%Ex08I*z~C-g*30GjRFY=_MXN3q2kFdgxK;H$MKi|4JzIPyR{hdw0{GPCveR z_ImvM&3X8>GLTKa?Bc&3`u-<(q=!Pk_G_VEdD*4T^SycovcLOfm-+SZCr^(__}6|d zb3P_7o3_`OuaUjK(68U0be!_*$8zL1KK?6D`mW6P9-QSo@r`7l{QF;Q=A+Or#*R;1 zdLM=U?u*0Xar)>u{cs{4%f`>oyI#!atB)uCuNRj&UN~3BOCs`{!^qR|&Ex9v;aE8v z`oZKfboE2=FVFvCd*|`5pMf9y@&D)l``-8V`%}Z;`}yV{?Emrier3OpT;0w0dq0m{ zJsp3#+}GcNa|=i>KpHuah4;egozoAN#Zy+dGfHY6iaZRlf`Iiw2*3U==>iN5`8eTp6syWW_ zHgrMWeBbrjnSS{Nlh-dj?@^N98T$CqOV59v z@ijA`zg7O_CXc_o=ABvsd4Z1iyN|PF^-)=lPD$hM)AS;oz8yO(+P59;Mn( z-@mWlV>|B1y;tL3x;N>>gzyg{KRAXSod};NpEGbT0}ot7L2#Vw!}^;(+3CFi7ny#Ab$su9 zoxDP}&a)mfaNqw-Ja{twy}gJ2#Rm@T{T~o{V5ak=a|T`~1NX0??D2adch}Z?dksC1 zhQ#oNiFdnrew{P$Ml$fgHT1qa^gv$fgBK>Azq<57Le8VFpMm?=Q0@bCsPUphUzM=n zt7bUI&lz~0fd{Uk5740p{szbM1Ux)EJN0m0&Ql-A!2N3|{{cF5^QsO#kf|?C3cfUU z9{G{ZzysIN2k21q#d_%L9`mzPUpIpv(DL`Mp~45~Q0q-Q^i^j-@KrOM;~!229=L`+ zK!;Lq+M%yH0nSc+RfayG`2B0B7z>X3cl>F@n~ z@MQV6eb?g`AKc3G#P>1-_bqQEgX5ljSeAF*_5JYIJr_>iPrvx`hvA>Mi ze~H*%c{uiB-`@Dav-9!;>f1B_{?|QGzM17;|32cI+1?+`wLZ|d|3Yv~#fSAhzRrK} zejeS|ujk&+{e3^T`hjccuLj3mIsQD^FTJ08^w3sc|8FO6I3Ak)M|;{kx^SbNtGl0m zeJiiWi8tHqufFu}h`32$7N1+H}Cq7GjvRn+yo%sCd$#NH1?h>&p#I6xbAeKbz z#NW?)vJa=9*?tsCqs{TyOH zkJw*C>=zI_{aMmSq5l-i{bz{%=ZO6hVt)y-{{peopLu;0I{lf~N1+p+c|GaN|0>q^ z*AV-!5c}(=W8n~Da5@a9!*Dtbr^9eM45!0zIt-`7a5@a9!*Dtbr^9eM45!0zIt-`7 za5@a9!*Dtbr^9eM45!0zIt-`7a5@a9!*Dtbr^9eM45!0zIt-`7a5@a9!*DtbH^Xo< z3^&7YGYmJwa5D@y!*DYUH^Xo<3^&7YGYmJwa5D@y!*DYUH^Xo<3^&7YGYmJwa5D@y z!*DYUH^Xo<3^&7YGYmJwa`V52oBs`BpCI-rVxJ-Q`RP~$zDMAD1inY$dj!5m;ClqV zN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$ zdj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz| zzDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m z;ClqVN8o!DzDMDE6uw8{dlbG$;d>OmN8x)EzDMDE6uw8{dlbG$;d>OmN8x)EzDMDE z6uw8{dlbG$;d>OmN8x)EzDMDE6uw8{dlddf;a?Q~Md4o*{zc(m6#hlwUljgD;a?Q~ zMd4o*{zc(m6#hlwUljgD;a?Q~Md4o*{zc(m6#hlwUljgD;a?Q~Md4o*{zc(m6#hlw zUljgD;a?Q~Md4oz{>9*54F1L7Ukv`m;9m^>#o%8I{>9*54F1L7Ukv`m;9m^>#o%8I z{>9*54F1L7Ukv`m;9m^>#o%8I{>9*54F1L7Ukvqa4E1dc^=%CGZ7ewb>?zhotcO@1 zG58*X?=kougYPl;9)s^O_#T7rG58*X?=kougYPl;9)s^O_#T7rG58*X?=kougYPl; z9)s^O_#T7rG58*X?=kougYR+p7l(gw_!ozNarhU9e{uL1hktSS7l(gw_!ozNarhU9 ze{uL1hktSS7l(gw_!ozNarhU9e{uL1hktSS7l(gw_!ozNarhU9e{uL1hktSS7l(gw z_!ozNarhU9e{uL1hktSS7l(gw_!ozNarhU9e{uL1hktSS7l(gw_!ozNarhU9e{uL1 zhktSS7l(gw_!ozNarhU9e{uL1mw%{lYZ_0={3s_Y3%b0pBm+`vrWzfbSRZ{Q|yU!1oLIegWSv z;QIx9zku%-@cjb5U%>YZ_ z0={3s_Y3%b0pBm+`vrWzfbSRZ{Q|yU!1oLIehJ?%;rk_gzl86X@ck0LU&8lG_Gnzf1Uc3I8tP-zEIJgnyUt?-Kr9!oN%ScLo2h;NKPeyMljL z@b3!#UBSOA_;&^WuHfGl{JVmGSMcu&{$0VpEBJQ>|E}QQ75uw`e^>DD3jSTezbp86 z1^=$#-xd73f`3=2Z?90_UZK9dLVbG`oPPFn)kUm_SRXO?eg)sJ;QJMPzk=^q@cjzD zU%~e)_=~N6@0&f?^p2s3cg>#_bd2*1>djW z`xSh@hJV-a?;8GH!@q0zcMbop;omjF%M2EO0G_Z#?r1K)4p`we`*f$ul){RY0@!1o*Yegofc z;QI}Hzk%;J@cjn9-@wfqxOoFNZ{X$)+`NICH*oU?Zr;Gn8@PD`H*etP4cxqen>TRt z25#QK%^SFR12=Er<_+AuftxpQ^9F9-z|9-Dc>_0Z;N}h7yn&lHaPtOk-oVWpxOoFN zZ{TJMZl>U73T~$0W(sbm;ARSLrr>4@Zl>U73T~$0W(sbm;ARSLrr>4@Zl>U73T~$0 zW(sbm;ARSLrr>4@Zl>U73T~$0W(sbm;ARSSaSC;DDmeX_RH}aNfJq6!W@I3|JQ}8_n-&62C1>aNfJq6!W@I3|JQ}8_n-&62C1>aNfJq6!W z@I3|JQ}8_n-&62C1>aNfJq6!W@I3|JQ}8_n-_!6t4d2u7Jq_Q}@I4LR)9^hF-_!6t z4d2u7Jq_Q}@I4LR)9^hF-_!6t4d2u7Jq_Q}@I4LR)9^hF-_!6t4d2u7Jq_Q}@GlMj z((o@0|I+X;4gb>cFAe|F@GlMj((o@0|I+X;4gb>cFAe|F@GlMj((o@0|I+X;4gb>c zFAe|F@GlMj((o@0|I+X;4gb>cFAe|F@GlMj((o@0|1$6|1OGDcF9ZKF@Gk@ZGVm`0 z|1$6|1OGDcF9ZKF@Gk@ZGVm`0|1$6|1OGDcF9ZKF@Gk@ZGVm`0|1$6|1OGDcF9ZKF zsBbff$tgko`LTf_@069 z8Tg)o?-}@>f$tgko`LTf_@0698Tg)o?-}@>f$tgko`LTf_@069S@@TQe_8mKg@0N2 zmxX^>_?Lx$S@@TQe_8mKg@0N2mxX^>_?Lx$S@@TQe_8mKg@0N2mxX^>_?Lx$S@@TQ ze_8mKg@0N2mxX^>_?Lx$S@@TQe_8mKg@0N2mxX^>_?Lx$S@@TQe_8mKg@0N2mxX^> z_?Lx$S@@TQe_8mKg@0N2mxX^>_?Lx$S@@TQe_8mKg@0N2mxX^>_?MM`sBg2VZ?mXx zv#4*gpParo&3=m5XNY}%I+la)IryG~?>YFMgYP-`o`df>_@0CBIryG~?>YFMgYP-` zo`df>_@0CBIryG~?>YFMgYP-`o`df>_@0CBIryG~?>YFMgYP-`o`df>_@0CBIryG~ z?>YFMgYP-`o`df>_@0CBIryG~?>YFMgYP-`o`df>_@0CBIryG~?>YFMgYP-`o`df> z_@0CBIryG~?>YFMgYP-`o`df>_@0CBIryG~?>YFMgYP-`o`df>_@0OFdH9}(?|Jy1 zhwpj#o`>&w_@0OFdH9}(?|Jy1hwpj#o`>&w_@0OFdH9}(?|Jy1hwpj#o`>&w_@0OF zdH9}(?|Jy1hwpj#o`>&w_@0OFdH9}(?|Jy1hwpj#o`>&w_@0OFdH9}(?|Jy1hwpj# zo`>&w_@0OFdH9}(?|Jy1hwpj#o`>&w_@0OFdH9}(?|Jy1hwpj#o`>&w_@0OFdH9}( z?|Jy1hwpj#o`>&w_+EhT1^8Zo?*;f?fbRwPUV!ff_+EhT1^8Zo?*;f?fbRwPUV!ff z_+EhT1^8Zo?*;f?fbRwPUV!ff_+EhT1^8Zo?*;f?fbRwPUV!ff_+EhT1^8Zo?*;f? zfbRwPUV!ff_+EhT1^8Zo?*;f?fbRwPUV!ff_+EhT1^8Zo?*;f?fbRwPUV!ff_+EhT z1^8Zo?*;f?fbRwPUV!ff_+EhT1^8Zo?*;f?fbRwPUV!ff_+EtXMfhHX??w1tgzrW8 zUWD&O_+EtXMfhHX??w1tgzrW8UWD&O_+EtXMfhHX??w1tgzrW8UWD&O_+EtXMfhHX z??w1tgnvc&SA>5>_*aB~Mfg{Qe?|CLgnvc&SA>5>_*aB~Mfg{Qe?|CLgnvc&SA>5> z_*aB~Mfg{Qe?|CLgnvc&SA>5>_*aB~Mfg{Qe?|CLgnvc&SA>5>_*a5|CHPl@e z_+EnVCHP)~?_+EnVCHP)~?_+EnVCHP)~?`8N` zhJR)FSB8IO_*aI1W%yTye`WYrhJR)FSB8IO_*aI1W%yTye`WYrhJR)FSB8IO_*aI1 zW%yTye`WYrhJR)FSB8IO_*aI1W%yTye`WYrhJR)FSB8IO_*aI1W%yTye`WYrhJR)F zSB8IO_*aI1W%yTye`WYrhJR)FSB8IO_*aI1W%yTye`WYrhJR)FSB8IO_*aI1W%yT? zf2eQEsBg=tZ_B7}%b%RSH!XjP*k_1+emYix?-lr7f$tUgUV-lw_+EkU75H9(?-lr7 zf$tUgUV-lw_+EkU75H9(?-lr7f$tUgUV-lw_+EkU75H9(?-lr7f$tUgUV-lw_+EkU z75H9(?-lr7f$tUgUV-lw_+EkU75H9(?-lr7f$tUgUV-lw_+EkU75H9(?-lr7f$tUg zUV-lw_+EkU75H9(?-lr7f$tUgUV-lw_+EkU75H9(?-lr7f$tUgUV-lw_+EkU75H9- z?^XC-h3{4PUWM;f_+EwYRrp?o?^XC-h3{4PUWM;f_+EwYRrp?o?^XC-h3{4PUWM;f z_+EwYRrp?o?^XC-h3{4PUWI>E_*aF0Rrpthe^vNbg@0A}SA~C7_*aF0Rrpthe^vNb zg@0A}SA~C7_*aF0Rrpthe^vNbg@0A}SA~C7_*aF0Rrpthe^vNbg@0A}SA~C7_*aF0 zRrptfe>M15gMT&nSA%~w_*a8}HTYM9e>M15gMT&nSA%~w_*a8}HTYM9e>M15gMT&n zSA%~w_*a8}HTYM9e>M15gMT&nS3`YULw#FAeOp6)TMJGmk-h48GUkdkwzV z;Cl_e*Wh~%zSrP;4ZhdldkwzV;Cl_e*Wh~%zSrP;4ZhdldkwzV;Cl_e*Wh~%zSrP; z4ZhdldkwzV;CmhZ)!|f1W%+xjP`?@jBUBK8?#pP!C3;ClnUH{g2%zBk}| z1HL!ldjq~V;ClnUH{g2%zBk}|1HL!ldjq~V;ClnUH{g2%zBk}|1HL!ldjq~V;ClnU zH{g2%zBk}|1HL!ldjq~V;ClnUH{g2%zBk}|1HL!ldjq~V;ClnUH{g2%zBk}|1HL!l zdjq~V;ClnUH{g2%zBk}|1HL!ldjq~V;ClnUH{g2%zBk}|1HL!ldjq~V;ClnUH{oUz zZZ_d&6K*!)W)p5U;bs$VHsNLyZZ_d&6K*!)W)p5U;bs$VHsNLyZZ_d&6K*!)W)p5U z;bs$VHsNLyZZ_d&6K*!)W)p5U;bs$VHsNLyZZ_d&6K*!)W)p5U;bs$VHsNLyZZ_d& z6K*!)W)p5U;bs$VHsNLyZZ_d&6K*!)W)p5U;bs$VHsNLyZZ_d&6K*!)W)p5U;bs$V zHsNMdZlW%3qAqTJa=M0Xeu~&_}7MiZTQ!Qe{J~JhJS7N*M@&> z_}7MiZTQ!Qe{J~JhJS7N*M@&>_}7MiZTQ!Qe{J~JhJS7N*M@&>_}7MiZTQzlecMKT z+eUrcMt$23PT!lhyNLA=>mvr=+wi>&-`nuL4d2`Fy$#>n@VyP++wi>&-`nuL4d2`F zy$#>n@VyP++wi>&-`nuL4d2`Fy$#>n@VyP++wi>&-`nuL1OGbkuLJ)&@UH{^I`FRp z|2pum1OGbkuLJ)&@UH{^I`FRp|2pum1OGbkuLJ)&@UH{^I`FRp|2pum1OGbkuLJ)& z@UH{^I`FRp|2pum1OGbkuLJ)&@UH{^I`FRp|2pum1OGbkuLJ)&@UH{^I`FRp|2pum z1OGbkuLJ)&@UH{^I`FRp|2pum1OGbkuLJ)&@UH{^I`FRp|2pyy^=$|BZ3p#j2lZ{| zlhgO6olg<_46)Bo#{&2s!1n;Y2kDhiz6bCDhiz6bC< zfbRi(58!(M-vjs_!1n;Y2k1R*9E@C~z`iQ~z9(?b?_a1!j!S^0~@4@#TeDA^c9(?b?_a1!j z!S^0~@4@#TeDA^c9(?b?_a1!j!S^0~@4@#TeDA^c9(?b?_a1!j!@oZK>%+f3{OiNN zKK$#$zdroy!@oZK>%+f3{OiNNKK$#$zdroy!@oZK>%+f3{OiNNKK$#$zdroy!@oZK z>%+f3{OiNNKK$#$zdroy!@oZK>%+f3{OiNNKK$#$zdroy!@oZK>%+f3{OiNNKK$#$ zzdroy!@oZK>%+f3{OiNNKK$#$zdroy!@oZK>%+f3{OiNNKK$#$zrOrKecMNU+edxd zM}6D>pJ^!1n=sAHeqkd>_E~0em08_W^t#!1n=sAHeqkd>_E~ z0em08_W^t#!1n=sAHeqkd>_E~0em08_W^t#!1n=sAHeqkd>_E~0em08_W^t#!1n=s zAHeqkd>_E~0em08_W^t#!1n=sAHeqkd>_E~0em08_W^t#!1n=sAHeqkd>_E~0em08 z_W^t#!1n=sAHeqkd>_E~0em08_W^t#!1n=sAHeqkd>_E~0em08_aS^A!uKJ3AHw$` zd>_L1A$%Xg_aS^A!uKJ3AHw$`d>_L1A$%Xg_aS^A!uKJ3AHw$`d>_L1A$%Xg_aS^A z!uKJ3AHw$`d>_L1A$%Xg_aS^A!uKJ3AHw$`d>_L1A$%Xg_aS^A!uKJ3AHw$`d>_L1 zA$%Xg_aS^A!uKJ3AHw$`d>_L1A$%Xg_aS^A!uKJ3AHw$`d>_L1A$%Xg_aS^A!uKJ3 zAHw$`d>_L1A$%Xg_Yr&_!S@k-AHnw#d>_I05quxP_Yr&_!S@k-AHnw#d>_I05quxP z_Yr&_!S@k-AHnw#d>_I05quxP_Yr&_!S@k-AHnw#d>_I05quxP_Yr&_!S@k-AHnw# zd>_I05quxP_Yr&_!S@k-AHnw#d>_I05quxP_Yr&_!S@k-AHnw#d>_I05quxP_Yr&_ z!S@k-AHnw#d>_I05quxP_Yr&_!S@k-AHnw#d>_I05quxP_c44Q!}l?KAH(-Cd>_O2 zF?=7x_c44Q!}l?KAH(-Cd>_O2F?=7x_c44Q!}l?KAH(-Cd>_O2F?=7x_c44Q!}l?K zAH(-Cd>_O2F?=7x_c44Q!}l?KAH(-Cd>_O2F?=7x_c44Q!}l?KAH(-Cd>_O2F?=7x z_c44Q!}l?KAH(-Cd>_O2F?=7x_c44Q!}l?KAH(-Cd>_O2F?=7x_c44Q!}l?KAH(-C zd>_O2F?=7x_X&KT!1oD!pTPGCe4oJg34EWx_X&KT!1oD!pTPGCe4oJg34EWx_X&KT z!1oD!pTPGCe4oJg34EWx_X&KT!1oD!pTPGCe4oJg34EWx_X&KT!1oD!pTPGCe4oJg z34EWx_X&KT!1oD!pTPGCe4oJg34EWx_X&KT!1oD!pTPGCe4oJg34EWx_X&KT!1oD! zpTPGCe4oJg34EWx_X&KT!1oD!pTPGCe4oJg34EWx_bGgz!uKhBpThSke4oPiDSV&8 z_bGgz!uKhBpThSke4oPiDSV&8_bGgz!uKhBpThSke4oPiDSV&8_bGgz!uKhBpThSk ze4oPiDSV&8_bGgz!uKhBpThSke4oPiDSV&8_bGgz!uKhBpThSke4oPiDSV&8_bGgz z!uKhBpThSke4oPiDSV&8_bGgz!uKhBpThSke4oPiDSV&8_bGgz!uKhBpThSke4oPi zDSV&8_ZfVj!S@+_pTYMTe4oMh8GN6?_ZfVj!S@+_pTYMTe4oMh8GN6?_ZfVj!S@+_ zpTYMTe4oMh8GN6?_ZfVj!S@+_pTYMTe4oMh8GN6?_ZfVj!S@+_pTYMTe4oMh8GN6? z_ZfVj!S@+_pTYMTe4oMh8GN6?_ZfVj!S@+_pTYMTe4oMh8GN6?_ZfVj!S@+_pTYMT ze4oMh8GN6?_ZfVj!S@+_pTYMTe4oMh8GN6?_c?r@!}mFSpTqY#e4oSjIeeeP_c?r@ z!}mFSpTqY#e4oSjIeeeP_c?r@!}mFSpTqY#e4oSjIeeeP_c?r@!}mFSpTqY#e4oSj zIeeeP_c?r@!}mFSpTqY#e4oSjIeeeP_c?r@!}mFSpTqY#e4oSjIeeeP_c?r@!}mFS zpTqY#e4oSjIeeeP_c?r@!}mFSpTqY#e4oSjIeeeP_c?r@!}mFSpTqY#e4oSjIeeeP z_XT`k!1o1wU%>YTd|$x#1$YTd|$x#1$YT zd|$x#1$YT{9C}k1^ipUzXkkTz`q6jTfn~s{9C}k1^ipUzXkkT zz`q6jTfn~s{9C}k1^ipUzXkkTz`q6jTfn~s{9C}k1^ipUzXkkTz`q6jTfn~s{9C}k z1^ipUza{)z!oMZ_Tf)C3{9D4mCHz~$za{)z!oMZ_Tf)C3{9D4mCHz~$za{)z!oMZ_ zTf)C3{9D4mCHz~$za{)z!oMZ_Tf)C3>f0si+a>DTCFXXy=rmIg8`wX$qPsi5qeGT8&@O=&6 z*YJG}-`DVc4d2)BeGT8&@O=&6*YJG}-`DVc4d2)BeGT8&@O=&6*YJG}-`DVc4d2)B zeGT8&@O=&6*YJG}-`DVc4d2)BeGT8&@O=&6*YJG}-`DVc4d2)BeGT8&@O=&6*YJG} z-`DVc4d2)BeGT8&@O=&6*YJG}-`DVc4d2)BeGT8&@O=&6*YJG}-`DVc4d2)BeGT8& z@O=&6*YJG}-`DVc4c|BLeFNV&@O=Z{H}HJ}-#74m1K&6BeFNV&@O=Z{H}HJ}-#74m z1K&6BeFNV&@O=Z{H}HJ}-#74m1K&6BeFNV&@O=Z{H}G!*|2FV%1OGPgZv+1}@NWbE zHt=r)|2FV%1OGPgZv+1}@NWbEHt=r)|2FV%1OGPgZv+1}@NWbEHt=r)|2FV%1OGPg zZv+1}@NWbEHt=r)|2FV%1OK-0Zwvpn@NWzMw(xHY|F-aN3;(w8Zwvpn@NWzMw(xHY z|F-aN3;(w8Zwvpn@NWzMw(xHY|F-aN3;(w8Zwvpn@NWzMwy1BnsBgEZZ?~v#x54RW zPunhHJ;eHm!S^kE-@^ATeBZ+NEqvd?_bq(i!uKtF-@^ATeBZ+NEqvd?_bq(i!uKtF z-@^ATeBZ+NEqvd?_bq(i!uKtF-@^ATeBZ&p9sJwDza9MB!M`2++rhsb{M*659sJwD zza9MB!M`2++rhsb{M*659sJwDza9MB!M`2++rhsb{M*659sJwDza9MB!M`2++rhsb z{M*659sJwDza9MB!M`2++rhsb{M*659sJwDza9MB!M`2++rhsb{M*659sJwDza9MB z!M`2++rhsb{M*659sJwDza9MB!M`2++rht`{6l@aLw&nLeY-<_yZhwyz3J{##6Cmp z^V6|CeBZ&##z|8~PJiyHZ+&sX|1Kd2o%>&##z|8~PJiyHZ+&sX|1Kd2o z%>&##z|8~PJiyHZ+&sX|1Kd2o%>&##z|8~PJiyHZ+&sw5zj3-|KKxC@ei^a9^Kk5O zI1~zngX4djP!JA%6dFB!|L7+l9jE>9>(LL79N)h`n@+k_tdG{Wdk}dC=gHrG zsq^Ia>3RCVdGf+(avuFAGVs>VliSegI`1}gy3V@|ov!n4L#OM!+tBGc?>2P0&btkr zuJdj~r|Z1i(CIqwHgvkqyA7SL^KL_@>%800={oN=bh^&F4V|v@ZbPT*yxY*}I`1}g zy3V@|ov!n4L#OM!+tBGc?>2P0&btkruJdj~r|Z1i(CIqwHgvkqyA7SL^KL_@>%800 z={oN=box2&ZRqrK+}lv_a9@19pSulp5$hq=M{I!D5U~kjQ^Zc!zqg^&_3v%ybp3l9 zI$i(XhECVNx1rPZ?``OG{d*faUH{&OPS?M;q0{y6ZRm9UdmB1k|K5g9*T1)+)AjFd z=yd&i8#-P8-iA)szqg^&_3v%ybp3l9I$i(XhECVNx1rPZ?``OG{d*faUH{&OPS?M; zq0{y6ZRm9UdmB1k|K5g9*T1)+)AjFdICT0N3&ZI!oDRe3Fq{s<=`frQ!|5=b4#Vj% zoDRe3Fq{s<=`frQ!|5=b4#Vj%oDRe3Fq{s<=`frQ!|5=b4#Vj%oDRe3Fq{s<=`frQ z!|5=b4#Vj%oDRe3Fx(8o%`n^y!_6?<48zSZ+zi9bFx(8o%`n^y!_6?<48zSZ+zi9b zFx(8o%`n^y!_6?<48zSZ+zi9bFx(8o%`n^y!_6?<49m^a&y8=xr=J_&hChL~pCa}d zVxOOmMc{h`zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD z1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqV zN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$ zdj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8x)EzDMDE6uw8{dlbG$;d>OmN8x)E zzDMDE6uw8{dlbG$;d>OmN8x)EzDMDE6uw8{dlbG$;d>OmN8x)EzDMDE6uw8{UljgD z;a?Q~Md4o*{zc(m6#hlwUljgD;a?Q~Md4o*{zc(m6#hlwUljgD;a?Q~Md4o*{zc(m z6#hlwUljgD;a?Q~Md4o*{zc(m6#hlwUljgD;a?Q~#o%8I{>9*54F1L7Ukv`m;9m^> z#o%8I{>9*54F1L7Ukv`m;9m^>#o%8I{>9*54F1L7Ukv`m;9m^>#o%8I{>9*54F1JX z-^Nhi#!%nJP~XOa)6bq_UBr5b^$~;bG58*X?=kougYPl;9)s^O_#T7rG58*X?=kou zgYPl;9)s^O_#T7rG58*X?=kougYPl;9)s^O_#T7rG58*X?=kouhktSS7l(gw_!ozN zarhU9e{uL1hktSS7l(gw_!ozNarhU9e{uL1hktSS7l(gw_!ozNarhU9e{uL1hktSS z7l(gw_!ozNarhU9e{uL1hktSS7l(gw_!ozNarhU9e{uL1hktSS7l(gw_!ozNarhU9 ze{uL1hktSS7l(gw_!ozNarhU9e{uL1hktSS7l(gw_!ozNarhU9e{uPT`ZkXGHjer> zj`}wK$?1F3_@{_{hS=w)V;AuK0={3s_Y3%b0pBm+`vrWzfbSRZ{Q|yU!1oLIegWSv z;QIx9zku%-@cjb5U%>YZ_%*@Qp zZ8~LUra+mQVLEl0nVFfHZf?Kxq^qlBeK~vSy?2guEID$#^|K74F7NqA&4RvXLEp2W z?^)3IEa-a{^gRpuo&|l+g1%=#-?O0aSZLEp2W?^)3IEa-a{^gRpu zo&|l+g1%=#-?O0aSyy2l|%-{mX&=u=}e>u><9Oz#T^e+ecmjnIFf&S${|8k&zIncix=wA-pZ*$;&n*;aT9Jt@+Bxe2G zQ%(zW%-9O!!v^gRdqo&$Z)fxhQJ-*cevInehU=z9+IJs0|y3;oN5{^dgda-n~@ z(7#;hUoP}77y6eA{mX^^Pf=zAXYJrDYx2Yt_jzUM*T^Pul}(Dyv(dmi*X z5Bi=5eb0lw=Rx1|pznFm_dMu(9`rpA`kn`U&x5|_LErPB?|IPoJm`BK^gR#yo(Fx; zgTCiM-}9jFdC>Pf=zAXYJrDYx2Yt_jzUM*T^Pul}(Dyv(dmi*XANrmTeb0x!=R@D~ zq3`+7_k8GkKJ+~w`koJc&xgL}L*MhE@A=U8eCT^V^gSQ?o)3M`hrZ`S-}9mG`Ox=# z=zBi&Js4}H&vzUM>V^P%tg(D!`kdp`6%ANrmTeb0x!=R@D~q3`+7_k8GkKJ+~w z`koJc&xgL}L*MhE@A=U8eCT^V^gSQ?o)3M`hrZ`S-}9mG`Ox=#=zBi&Js4}H&v zzUM>V^P%tg(D!`kdp`6%ANrmTeb0x!=R@D~q3`+7_k8GkKJ>i+`d$EiFMz%mK;H|X z?*-8J0_b}I^t}N3UI2YBfW8+%-wUAc1<>~b=z9V5y#V@N0DUijz865>3!v`>(Dwr9 zdja&l0Qz14eJ_B%7eL<&pzj6H_X6l<0d%tfx>*3-EP!qnKsO7Zn+4F#0_bJ|bh7}u zSpeNEfNmB*Hw&Pf1<=g`=w<QK-7J7^ z7C<)(pqmBI%>w9V0d%tfx>*3-EQD?rLN^Pcn}yKLLg;28bh8k;SqR-Mgl-l>Hw&Sg zh0x7H=w=~wvkMMq9{VRh06+!=spnpZszar>g5%jMJ`d0+~ zD}w$NLH~-Ne?`#0BIsWc^sfl|R|Nelg8mgj|B9f0MbN(@=wA`^uL$~A1pO<5{uM+2 zilKkS(7$5nUorHr82VQX{VRt46+{1up?}5DzhdZLG4!t(`d1A7D~A3RL;s4Qf5p(h zV(4En^sgBDR}B3thW-^p|B9i1#n8WE=wC71Z;Ro6TMYNxVz}QHCuV&YEpCCcRya$- z8T7pv`d$ouFNVGsL*I*`@5RvfV(5D@^t~ARUJQLNhQ1d=-;1H|#nAU+=zB5ry%_pl z41F(#z86E^i=pqu(D!2KdolFA82VleeJ_T-mq7nYpnoOMzY^$Q3G}Z7`d0$|D}nx% zK>tdhetdheUGU$65^t}xFUIu+H zgT9wR-^-xyWzhFB=zAITy$t$Z27NDszL!DY%b@RN(DyRvdl~e-4EkOMeJ_K)mqFjl zpzmeS_cG{v8T7pj`d$WoFN409LEp=u?`6>UGU$65^t}xFUIu+HgT9wR-^-xyWzhFB z=zAITy$t$Z27NDszL!DY%b@RN(DyRvdl~e-4EkOMeJ_K)mqFjlpzmeS_cG{v8T7pj z`d$WoFN409LEp=u?`6>UGU$65^t}xFUIu+HgT9wT-^-!z<p4t+0& zzL!Ja%c1Y((D!oadpY#I9Qs}keJ_W;mqXvnq3`9;_j2faIrP08`d$uwFNeODL*L7x z@8!_p4t+0&zL!Ja%c1Y((D!oa zdpY#I9Qs}keJ_W;mqXvnq3`9;_j2faIrP08`d$uwFNeODL*L7x@8!_p4t+0&zE?osE1>Tc(Dw@Hdj<5p0{UJ7eXoGN zS3ut@pzjsX_X_BH1@yfF`d$HjuYkT+K;J8%?-kJZ3g~+U^t}T5UIBftfWB8i-z%W+ z70~wz=z9h9uLAm40sX6h{#8K#DxiNA(7y`kUj_890{T}0{i}ffRY3nLpnnz6zY6GI z1@x~1`d0z{tAPGhK>sSBe-+Tb3g}-2^sfT?R{{O2fc{lL|0mC(OR=wBt=Z!6(`TM75uO1R%vCT9I_ zM=D$3tQF3Za0Y#^guYio-z%Z-mC*M}=zAsfy%PFf34O1GzE?uuE1~a|(DzE{dnNR} z68c^VeXoSRS3=(_q3@N@_e$t{CG@=#`d$fruY|r=LfaeT)zJ59=zBHvy&C#n4SlbMzE?xvtD*1J(D!QSdo}dE8v0%heXoYT zS3}>cq3_ku_iE^SHT1n2`d$rvuZF%?L*J{R@72)vYUq14^t~GTUJZS(hQ3!r->aeT z)zJ59=zBHvy&C#n4SlbMzE?xvtD*1J(D!QSdo}dE8v0%heXoYTS3}>cq3_ku_iE^S zHT1n2`d$rvuZF%?L*J{R@72)vYUq14^t~GTUJZS(hQ3!r->aeTHPH7O=z9(Hy$1ST z1AVW7zSltCYoPBn(DxeXdkyrx2KrtDeXoJO*FfKEpzk%%_ZsMX4fMSR`d$NluYtbT zK;LVi?={f(8t8is^t}f9UIYEBf&SG%|7xIrHPF8r=wA)=uLk;81O2Ok{?$PLYM_5L z(7zh!Uk&uH2KrY6{i}ig)juo>e>Kp*8t7jQ z^sff`R|Ea4f&SG%|7xIrwa~v>=wB`LuNL}O3;nBw{?$VNYN3C%(7#&fUoG^n7W!8U z{i}uk)k6Pjp?|f|zgp;DE%dJz`d176tA+m6LjP)^f3?uRTIgRb^sg5BR}1&sTDafV z!u_@u?zgpxSwHtw+X82;aF&EK=zA^ny%zdj3w^JJzSlzEYoYJ8(Dz#CdoA?67W!Tb zeXoVS*FxWGq3^ZO_gd(CE%dz>`d$ltuZ6zXLf>nl@3qkPTIhQ%^t~4PUJHG%gZ|Y) z|LUNBb$=wBW5uMYZG2mPyq{?$SM>Y#sh(7!t9Umf(X4*FLI{i}oi)j|L2pnrAH zzdGn&9rUjb`d0`2tAqa4LI3KYe|6BmI_O^=^sf&3R|oy8gZ|Y)|LUNBb$=wBW5 zuMYZG2mPyq{?$SM>Y#sh(7!t9Umf(X4*FLI{i}oi)j|L2pnrAHzdGn&9rUjb`d0`2 ztAqa4LI3KYe|6BmI_O^=^sf&3S4aJW`)wWEZ|mTGTL<^sx+$~%-L!5hoK1tX>9ano zhrZWC-|M08_0acv=zBf%y&n2r4}GtPzSl$F>!I)U(D!=idp-2M9{OGneXobU*F)dy zq3`w3_j>4iJ@maE`d$xxuZO!I)U(D!=idp-2M9{OGneXobU*F)dyq3`w3_j>4iJ@maE z`d$xxuZO!w(Dz2@ zdn5F{5>eQ$)mH$wj!p?{6gzeea^BlNEk`qv2kYlQwaLjM|}e~r+;M(AH7^sf>6 z*9iSok>{xw4X8liuU(7#6LUnBId5&G8%{cD8& zHA4Ryp?{6gzeea^6ZEeM`qu>gYl8kYLI0Yde@)Q8Cg@)i^sfo}*984*g8nr@|C*qG zP0+t4=wB1`uL=6s1pRA*{xw1WnxKD8(7z_=Ula7N3HsLr{cD2$HNpM13GTN|aKCMW z`)yNV*1wxJwZK^`oF(B5`rZV6Z-TxzLEoF8?@iG6Cg^(;^t}oC-UNMbg1$FF-1buIUzBfVNo1pJa(Dx?jdlU4%3HsgyeQ$!kH$mTxP zGxV<+`qvEoYli+cL;sqgf6dUpX6Rot^sgEE*9`q@hW<4}|C*tH&CtJQ=wCDRuNnH+ z4E<|{{xw7YnxTKq(7$HrUo-Tt8T!`@{cDE)HADZJp?}TLzh>xPGxV<+`qvEoYli+c zL;sqgf6dUpX6Rot^sgEE*9`q@hW<4}|C*tH&CtJQ=wCDRuNnH+4E<|{{xw7YnxTKq z(7$HrUo-Tt8T!`@{cDE)HB&XEztKC=z9zFy#@N- z0)20RzPCW%TcGbP(DxSTdkgfv1^V6seQ$xjw?N-ppzkfv_ZH}T3-rAO`rZP4Z-KtI zK;K)S?=8^x7U+8m^t}c8*8=@(f&R5X|5~7bEzrLf=wA!;uLb(o0{v@&{u2xe=X3z7U*9K z^sfc_*8=@(f&R5X|5~Act1V@2$}HR_J>x^t~1Oo`n7-p?^u} zUlRJ4g#IO=e@W?MC82*w=wA~0mxTT$p?^u}UlRJ4g#IO= ze@W?MC82*w=wA~0mxTT$p?^u}UlRJ4g#IO=e@W?MC82*w=wA~0mxTT$p?^u}UlRJ4g#IO=e@W?MC82*w=wFih2lv|~+;5X`zfHpZHaTV1zndnf!r3%9n?CEaHt2gB^t}!G-UfYd zgTA*x-`k+?ZP52N=zAOVy$$-_27PaXzPCZ&+o11l(DydzdmHq<4f@^&eQ$%lw?W_A zpzm$a_crKz8}z*m`rZb8Z-c(KLEqb;?`_ccHt2gB^t}!G-UfYdgTA*x-`k+?ZP52N z=zAOVy$$-_27PaXzPCZ&+o11l(DydzdmHq<4f@^&eQ$%lw?W_Apzm$a_crKz8}z*m z`rZb8Z-c(KLEqb;?`_ccHt2gB^t}!G-UfYdgTA*x-`k+?ZP532=zBZ#y&d}A4t;Nj zzPCf)+oA96(D!!edpq>K9s1r5eQ$@pw?p6Cq3`X`_jc%eJM_IB`rZzGZ->6OL*Lt> z@9og{cIbOM^t~PW-VS|lhrYK%-`k<@?a=pj=zBZ#y&d}A4t;NjzPCf)+oA96(D!!e zdpq>K9s1r5eQ$@pw?p6Cq3`X`_jc%eJM_IB`rZzGZ->6OL*Lt>@9og{cIbOM^t~PW z-VS|lhrYK%-`k<@?a=pj=zBZ#y&d}A4t;NjzPCf)+oA96(Dx4Ldk6Hr1NzeeZ<6cS7Ggq3@m0_fF`0C-l7&`rZkB?}Wa0Lf<=~@14;1PUw3l z^t}`M-U)s0guZt|-#el2ozVAA=zAyhy%YN034QN`zIQ_3JE8BL(DzR0dnfe06Z+l> zeeZ<6cS7Ggq3@m0_fF`0C-l7&`rZkB?}Wa0Lf<=~@14;1F6es~^t}uE-UWT{g1&b_ z-@BmiUC{R~=zACRy$kx@1%2;=zIQ?2yP)q~(DyFrdl&S*3;Ny#eeZ(4cR}B~pzmGK z_b%vr7xcXg`rZY7?}EN}LEpQe?_JRMF6es~^t}uE-UWT{g1&b_-@BmiUC{R~=zACR zy$kx@1%2;=zIQ?2yP)q~(DyFrdl&S*3;Ny#eeZ(4cR}B~pzmGK_b%vr7xcXg`rZY7 z?}EN}LEpQe?_JRMF6es~^t}uE-UWT{g1&b_-@BmiUC{S#=zBNxy&L-84Sny1zIQ|4 zyP@yh(D!cWdpGpG8~WZ2eeZ_8cSGO1q3_+$_ipHWH}t(5`rZwF?}om2L*Kih@7>V% zZs>bA^t~JU-VJ^4hQ4=0-@Bpj-O%@L=zBNxy&L-84Sny1zIQ|4yP@yh(D!cWdpGpG z8~WZ2eeZ_8cSGO1q3_+$_ipHWH}t(5`rZwF?}om2L*Kih@7>V%Zs>bA^t~JU-VJ^4 zhQ4=0-@Bpj-O%@L=zBNxy&L-84Sny1zIQ|4yP@yh(Dxqbdk^%z2m0OveeZ$3_dwr! zpzl4<_a5kb5A?kU`rZS5?}5JeK;L_y?>*4>9_V`y^t}iA-UEH_fxh=Z-+Q3%J<#_a z=z9*4>9_V`y^t}iA-UEH_fxh=Z-+Q3%J<#_a=z9G zdoT387y8}{eeZ?7_d?%$q3^xW_g?6GFZ8_^`rZqD?}fhiLf?C#@4e9XUg&!-^t~7Q z-V1&2g}(Pf-+Q6&z0mhw=zA~py%+l43w`f}zV|}kd!g^W(Dz>GdoT387y8}{eeZ?7 z_d?%$q3^xW_g?6GFZ8_^`rZqD?}fhiLf?C#@4e9XKInTN^t})I-Uof}gTD7c-}|8N zebDzl=zAaZy$|}{2Yv5@zV|`j`=IZA(Dy#*dmr?@5BlB*eeZ+5_d(zLpznRq_de)* zAN0Ks`rZe9?}PsJLI3)oe|^xuKImT`^sf*4*9ZOUgZ}kF|N5YRebB!?=wBc7uMhgy z2mR}V{`Eos`k;S((7!(DUmx_Z5Bk>!{p*AN^+EsopnrYPzdq<+AM~#e`qu~j>x2IF zLI3)oe|^xue&}C6^sgWK*AM;chyL|L|N5bS{m{RD=wCnduOIr?5B=+h{`Euu`k{aQ z(7%4@UqAG(ANto1{p*MR^+W&qp@03*zkcXnKlHC3`qvNr>xcVoKiqHo;eOi>_uKx& ztpDFk{Vj0T3TH_;gTD7e-}|BO{m}P*=zBl(y&wAC4}I^4zV}1l`=Rgs(D#1mdq4EO zANt-8eeZ|9_e0r4ye*@6J0qEZV^lt$AHvs(`fc_0Y{|2Cc1JJ(# z=-&YJZvgr?0R0<){tZC?2B3cf(7yrb-vIP)0Qxro{TqP(4M6_}pnn6r4ye*@G%xZe)I{dNHEw*zp$9hfrf-%SUm!r3%9n?CEaLFoG+^nDQe zJ_vmuguV|#-v^=ZgV6Uu==&h_eGvLS2z?)fz7Im*2chqS(Dy;;`yljv5c)m{eIJCr z4?^Duq3?sx_d)3UAoP6@`aTGKAB4USLf;3W?}O0yLFoG+^nDQeJ_vmuguV|#-v^=Z zgV6Uu==&h_eGvLS2z?)fz7Im*2chqS(Dy;;`yljv5c)m{eIJCr4?^Duq3?sx_d)3U zAoP6@`aTGKAB4USLf;3W?}O0yLFoG+^nDQeJ_vmuguV|#-v^=ZgV6UO==%`#eF*wK z1brWZz7Ij)hoJ96(Dxze`w;Yf2>Lz*eIJ6p4?*9DpzlM__aW%}5cGWr`aT4GAA-IQ zLEndLe!{TqV*4MG2gpnpTqzai+~5cF>d`Zomq8-o4~LH~xJe?!o}A?V)_ z^lu3IHw66~g8mIb|AwG{L(sor=-)8(Zy5SF4E-C1{tZL_hM|AM(7$2m-!SxV82UF1 z{Tqh<4MYEip?|~BzhUU#F!XO2`Zo;y8;1T3L;r@Mf5XtfVd&p5^lupYHw^t7hWqU> z+;4~Beme~J+u_8lpL-f^fwNXPOTroSeHi*a41FJlz7Ip+hoSGo(Dz~J`!Mu<82Ua8 zeIJIt4@2LFq3^@c_hIP!F!X&G`aTSOABMgUL*Iv?@59jdVd(oX^nDomJ`8;yhQ5zL z|3;vHBhbGQ=-&wRZv^@`0{t6-{*6HYMxcKq(7zGr-w5tRdetRdeywg}#qM-$$YEqtN$J z==&)2eH8jW3Vk1izK=rRN1^Yd(Dza3`zZ8%6#702eIJFsk3!!^q3@&6_fhEkDD-_4 z`aTMMABDb;Lf=QB@1xN7QRw?9^nDciJ_>ywg}#qM-$$YEqtN$J==&)2eH8jW3Vk1i zzK=oQ$Dr?H(DyOu`xx|n4EjC>eIJ9qk3rwZpzmYQ_c7@E81#J%`aTAIAA`P+LEp!q z?_<#SG3fgk^nDEaJ_dasgT9YJ-^ZZuW6<|8==&J-eGK|O2HhNkZjM1W$Do^I(9JRE z<`{Hy47xc6-5i5%jzKrapqpdR%`xca7<6+Cx;X~j9D{C-K{v;sn`6+;G3e$PbaM>4 zIR@PvgKmyNH^-oxW6;ep=;j!7a}2sU2HhNkZjM1W$EceZ&-%_hb_twa4rf=){A}`s z5WNn1^t@xK4_Wzzroml<#W_xXG6!T-;v{@>?w{?}*xe}BG1 z;h&$^#Ker_|IF)*pMA~@f-nF2`Hx*Xn~#&u#Eg$N6eex;e|^x2|9$^H$JGD$-2WSY z4gY)v6aPPWoLn|rkCVy&dYsPWaq@pXO#bJu|9^Z3{@;9@BxZa;^!m?#ZzhMC-UG?~ z@3a4~GrcFyrk&|MayIQu@0qh{XL=8vO*_+j>TKGX-eYIe&h(x;n|7x6;Mue@y(iD6 zo#{P#HtkIB*|TY9dJmsXJJWmmY}%RL<7d;(^qxPPcBan*%%+{`^8~YLXZk$CY}%PV z&oG;Irq4snrk&~Y6tih(`aH&L+L=DjF`IU#&x6dSo$2!=vuS7gJj!g^nLf`ln|7wp z!_20g=?*iScBVVcY}&-keixff+aheMut{Otgl!kLL)cDXyM*l)wnx}rVf%#b7j{6{ zL1Bl49Ts*(*im7}gq>U1d4!!;*!hH=U)TkNT~OGCgk4zJMTA{c*h#`JChX$EE+Ooa z!Y(E3(!wqy?6SfxC+zaVt|07+!mcFj%EGQ9?5e`9ChY3Mt|9E2!mcIk+QP0Q?7G6P zC+zydZXoQ2!fqt&#=>qQ?54tQChX?IZXxWJ!fqw(*1~Qh?6$&gC+zmZ?jY=r!tNyO z&cf~@?5@J@ChYFQ?jh`+!tN#P-oox9?7qV8C+z;h9w6+2!X6~-!NMLQ>||jN6*eX8 zVZt6R>=D8qDeO_g9xd!K!X7K^al#%i>>0wIDePIo zo-OP-!k#PadBUDA>;=MJDC|YTP7!vhu+xN{F6_m^ULx$J!d@oq<-%Se?3Kb^CG6G0 zUL)+a!d@rr^}^mD?2W?SB<#(?-XiR+!rmtA?ZVz6?482iCG6e8-XrY2!rmurTG;!A z%?SH|u;ao$DC|SRJ}m4b!ageOW5PZz>=VL1DeP0iJ}vAs!aghPbHY9^>>I+qDePOqzAfxK!oDl)d&0gi><7YrDC|eVek|-K!hS03XTp9i z>=(j*DePCmel6@b!hS34cfx)z><_~JDC|$d{w(Y-!u~4kZ^HgA>>tAZDePau{vB^8 z1Ysu#J5ks5^xrEIUHe1*nVRMDe6ED}}8R zwp!R4VQYo06SiL124Ne8Z4$Ow*o3ex!nO*V6t+#+c40e&?G(04*luBagzXi!PuPB8 z2ZS9Ic1YM^VMl}=6?RP6xrLoa*m;GWPuTf|T|n3cg@vbGE9`Q@E-&l~!mcRnO2V!z>?*>pD(q^)t}g5v!mcUoTEea^>^j1( zE9`p0t}pBc!fq(+M#63^>?Xo)D(q&$ZZ7N=!fq+-R>E#A>^8z~E9`c{ZZGT(!tN;S zPQvai>@LFYD(r5;?k?;e!tN>TUc&Az>^{QoE9`#4?l0^C!X7B>LBbv^>>=nXZDeP6k zUM=i3!d@%vb;4dR>>a}1DePUs-Yx7s!rm+FeZr=N zyxFF)wo%w7VVi|b2-_lTtFTF7+k|ZwwnNxXVY`Iw7Pd#&USa!$ z?H6`H*g;{3gdG-kMA%Vb$Aq0**m;DVSJ?T4onP1mgk4bBg@j#L*hPe0RM<(vE+*{a z!Y(1~lEN+}?9#$6BkZ!mE+_2r!mc3fio&iW?8?HfBJ8Tdt|sj2!mc6gn!>In?ApSv zBka1ut|#pJ!fqh!hQe+n?8d@wBJ8HZZYJ#J!fqk#mcni&?AF3=BkZ=qZYS*a!tNmK zj>7IF?9RgOBJ8fh?k4Q+!tNpLp2F@W?B2reBkaDy?kDX2!X6;(fx;dn?7_kwBJ5;g z4;3~g>|w$lF6~X>#FYF1zo+#`|!k#SbDZ-vA>}kTDF6r^>|??{F6~q3CFYF7# zz9{TV!oDo*E5g1i>}$flF6}SG$F6~F&UF6v3R@&>v9Kk=mI_-YY`L%% z!d41fC2X~@HNw^kTPJM2unodC3fm-Xv#<$aTZC;DHYseIuy;In`guPqXdxX7L z*!zS{3wyt?8DSp~c3jv8g?&iahlPDa*hhtZOxVYTeL~nLg?&ocr-gk+*k^@(PT1## zeL>h4g?&lbmxX;r*jI&pP1x6keM8tcg?&rdw}pL2*ms3}PuTZ`{Xp0ch5bm_kA?k2 z*iVK1OxVwb{X*C;h5bs{uZ8_a*l&gXPT22-{Xy6th5bp`pN0KJ*k6VHP1xUs{X^J4 zh5bv|zvJzkLD&hxP844hIUDys`JB95Mwp-X9 zVS9z`6SiO20bvJ)9TIj}*b!kzg&h-iZeiyUc3xrU6Lx-K7Z7$qVHXm1VPO{$c2Qv` z3A>oEiwnDiuuBTNl(0(+yNs~Q3cH-J%L}`Luqz6?lCUcayNa-@3cH%Hs|&k^uxkpt zmauCJyNkGSqup0`yk+2&JyNR%y3cH!Gn+v;zuv-edm9Se2yN$5h3cH=K z+Y7scusaI7ldwAryNj^93cH)Iy9>LAuzL!-m#}*ayN|H@3cH`M`wM%3um=izkgx{} zdq|v}JRyWIAu;2Rp^%smQX%~7?MYkBc-Q~D{1^KU426GwUK0~DKCl1G>x{=n&cFLo z{@us>kH<&+-k%Ebdw(j#@BOI|zxSs?{NA4m@q2$N#P9v75Wn}QLj2yJ3h{e?D#Y*o zsSv;Sr$YSRp9=ANe=5Z9{izVY_oqVq-k%Ebdw(j#@BOI|zxSs?{NA4m@q2$N#P9v7 z5Wn}QLj2yJ3h{e?D#Y*osSv;Sr$YSRp9=ANe=5Z9{izVY_oqVq-k%Ebdw(j#@BOI| zzxSs?{NA4m@q2$N#P9v75Wn}QLj2yJ3h{e?D#Y*osSv;Sr$YSRp9=ANe=5Z9{izVY z_oqVq-k%Ebdw(j#@BOI|zxSs?{NA4m@q2$N#P9v75Wn}QLj2yJ3h{e?D#Y*osSv;S zr$YSRp9=ANe=5Z9{izVY_oqVq-k%Ebdw(j#@BOI|zxSs?{NA4m@q2$N#P9v75Wn}Q zLj2yJ3h{e?D#Y*osSv;Sr$YSRp9=ANe=5Z9{izVY_oqVq-k%Ebdw(j#@BOI|zxSs? z{NA4m@q2$N#P9v75Wn}QLj2yJ3h{e?D#Y*osSv;Sr$YSRp9=ANe=5Z9{izVY_oqVq z-k%Ebdw(j#@BOI|zxSs?{NA4m@q2$N#P9v75Wn}QLj2yJ3h{e?D#Y*osSv;Sr$YSR zp9=ANe=5Z9{izVY_oqVq-k%Ebdw(j#@BOI|zxSs?{NA4m@q2$N#P9v75Wn}QLj2yJ z3h{e?D#Y*osSv;Sr$YSRp9=ANe=0=o{r_zIljHuaz(4j-VN=2$ChXzD9wF?J!X72; z(ZU`h?6JZgC+zXUo*?Xr!k#4T$-!d@)wCBj}R>}A4UF6~+FkFYFD% z-YD!%!rm}|r{F6l!agMI z!@@oy?4!ayChX(FJ|XOr!agPJ)51O@?6bl?C+zdWz98(2!oDQz%fh}Q?5o1QChY6N zz9HTiAJoombfTgq>g51%zEt*oA~$SlC5`T~ydf!Y(H4;=(Q=?2^JRCG66|E+g!+ z!Y(K5^1`kl?25v!B<#wcXxe?3%)^CG6V5t|RQa!mcOm`oeA??1sW_ zB<#k*ZX)ca!fqz)=E80v?3TiACG6J1ZX@ir!fq$*_QLKU?2f|jB<#+@?jr22!tN&Q z?!xXN?4H8zCG6h9?j!8J!tN*R{=yz0?191_B<#V$9wO{yVGk8HCG26s9xm(=!X7E? zQNkWA>@mU~E9`N?9xv<(!k#GXNy45i>?y*YD(q>(o-XVe!k#JYS;C$z>^Z`oE9`l~ zo-gbL!d@usMZ!)IcB-(`gq<$z#ll`9?4`n9ChX?6WHD(qvzJ}&GN!agbNQ^Gzi>@&hXE9`T^J}>MG!oDc%OTxY^>?^{)D(q{* zzAo$=!oDf&Tf)9A>^s7~E9`s1zAx+t!hR_1N5XzA>?gv0D(q*%elF}6!hR|2SHgZR z>^H)GE9`f|elP3~!u}}iPs08z>@ULpD(r8<{x0kv!u~1jU&8(!Zzl#}CkQ)H*g1rq zQ`otL%@Q_S*c@SVh0PN-U)Ta+3xzEbwpiE_VM~QA6SiE~3SldStrE6c*cxGLg{>2| zUf2d<8-;BWwprMOur0#23Y!$RP1tr}JA~~NwoBM`Gs9T*ad}MNZ5siT}0SLg`FhqV!|#i>=ME*DeO|hE-mab z!Y(W9a>6bz>>9$ZDePLpt}X03!mcaqdcv+R>;}Sa zDC|bUZY=C3!fq<;X2NbR>=wdqDeP9lZY}IK!fq?<+^2DC|zc?kwys!tN^U zZo=*^>>k4IDePXt?k(&-!tN{Ve!}iA>;b|aDC|MP9xUu3!cG?UP+?QT9wzMJ!X6>) zk-{D&?9sv=BkZxl9w+Sa!k!@PiNc;F?8(BOBJ8Qco+j++!k!`QnZlkW?AgMeBkZ}t zo+s@2!d@Wkg~DDW>=a?A3Oh~M>B3$t>?OipD(q##UM}nv!d@xtRl;5^>@~t(E9`Z` zUN7to!rmzCO~T$R>@C9HD(r2--Y)DN!rm$DUBcci>^;KXE9`y3riHy<*o?3b2s&?AyY=Bka4vz9;Pa!hRs^hr)g&?8m}>BJ8KaekSba!hRv_m%@G} z?AOA6BkZ@rekbhr!u}xakHY>W?9amfBJ8ii{wD12!u}!bpThnn?BDTrjv(v=VJ8YZ zhp=-BJD0Fo!e$GbBW$j)dBWxkTOe$qutmZa3tJ*=sjy|jmJ3@UY^AVO!d44gBW$g( zb;8yQ+aPSCuuZ}?3!4zOMc7thlft$M+b(Q}u${to3EM4fkFdSM_6gfB?0~R?!VU>L zEbNG|qr#2}JGZd&2s^K^^9eh@unP#gps))GyRfi}2)n4TlZ0JN*u{liLf9pRT}s%c zgZ-AdT4h22KjZH3)V*zJYgLD(II-AUM;h22Hi zU4`9E*xiNQL)blq-AmZLh22NkeTCgm*!_h)K-dF?JxJJtg*`;r$-*8gY)aU}ggso? zBZNIt*rS9!TG(TRJyzJ`ggsu^6NEic*pq}kS=dvAJyqD#ggsr@GlV@;*t3K^TiA1i zJy+QCggsx_3xvH;*o%anBJ5OQrwKb<*o%d|MA%D(y-e84g}p-9D}}vE*sF!TM%ZhG zy-wKcg}p)88-=||*qepDMc7+~y-nELg}p=AJB7VV*t>IwoKS^VJn2K6t+s(YGG@H ztrfOT*m_|bgl!bIN!Vs#6T-F#+bV2Q*fwF?h3ycwQ`jzHyM^r$wpZ9bVf%$05Oz@5 zAz_Dw9T9d^*fC+}7Iq$C=M{E7Vdoci0bv&ub|GOG7IqO~7ZrAru!{-1xUfqIyQHv7 z3A?ng%Lu!yu*(U%ys#?>yP~iw3A?hes|dTQu&W8Xy0B{qyQZ*f3A?ti>j=B9ucf3A?edn+Ut9u$u|Hxv*OZyQQ#O3A?qh+X%a@u-gf{y|6n7yQ8o>3A?kf zy9m3hu)7JnyRdr*yQi>w3A?wj`v|+Qu=@$Szpw`gd!Vof345@xhs4>*6G8|R5;Oi7 z3W*6J9m2ofp0w4Bcm2=Hf3fetQ26KPH8C;c^ZL)c&UkF({JSsZ-+jFQcznd~{pk?D z_oqYr-k%Qfdw)8_@BQfzzxSs@{NA4q@q2$d#P9v-5Wn}QL;T*K4)J?`I>hh&=@7s7 zr$hYSpAPYRe>%kP{pk?D_oqYr-k%Qfdw)8_@BQfzzxSs@{NA4q@q2$d#P9v-5Wn}Q zL;T*K4)J?`I>hh&=@7s7r$hYSpAPYRe>%kP{pk?D_oqYr-k%Qfdw)8_@BQfzzxSs@ z{NA4q@q2$d#P9v-5Wn}QL;T*K4)J?`I>hh&=@7s7r$hYSpAPYRe>%kP{pk?D_oqYr z-k%Qfdw)8_@BQfzzxSs@{NA4q@q2$d#P9v-5Wn}QL;T*K4)J?`I>hh&=@7s7r$hYS zpAPYRe>%kP{pk?D_oqYr-k%Qfdw)8_@BQfzzxSs@{NA4q@q2$d#P9v-5Wn}QL;T*K z4)J?`I>hh&=@7s7r$hYSpAPYRe>%kP{pk?D_oqYr-k%Qfdw)8_@BQfzzxSs@{NA4q z@q2$d#P9v-5Wn}QL;T*K4)J?`I>hh&=@7s7r$hYSpAPYRe>%kP{pk?D_oqYr-k%Qf zdw)8_@BQfzzxSs@{NA4q@q2$d#P9v-5Wn}QL;T*K4)J?`I>hh&=@7s7r$hYSpAPYR ze>%kP{pk?D_oqYr-k%Qfdw)8_@BQfzzxSs@{NA4q@q2$d#P9v-5Wn}QL;T*K4)J?` zI>hh&=@7s7r$gl4pZMP!|KzxTEAWp!RM?cThY5SQutx}cq_9T`d$h2}2z#us#|eA9 zuqOz6qOd0kd$O>n2z#oqrwMzyuxAK+rm$xTd$zFW2z#!u=Lvhhuonn>p|BSTJ4M*3 z!cG%*y08}udx@}@3VWHbmkWD^uvZFum9SR}dyTNy3VWTf*9&`tur~^Oldv}ndyBBQ z3VWNdw+nlRuy+c3m#}vWdyla93VWZhX<_ddHY4l?Q&2>Yn8j|uy@ zuulm4q_9s3`?Rpn2>YzC&k6gyurCPvqOdOs`?9dF2>YtAuL=9Qux|+arm$}b`?j#} z2>Y(E?+N?9upbEfp|Bqb`?0W}2>Yq9p9%Z9uwMxKrLbQK`?av&2>Y$D-wFG@us;a< zqp&{-`?IjW2>YwBzX|)huzv{qr?7ts`**yZ5QLo|>_lPb5Oz*s=Mpwc*lb~Qgv}K; zPuP563xq8cwn*4wVM~N96}C*+a$zfktrWIO*lJ;Ggsl~}PS|>38-#5Xwn^A#VH3i( z2-_-bQrI?O+lB2Awo}+HVY`Lx5w=&@K4JTX9T0X<*dbwug&h%gRM;_L=N5JzVdoWg zK4IqMN`cP*a^Z;6m||_=M;7>VY7tI7B)xN zTw(Ks%@?*n*g|28ge?}fMA%Yc%Y-c#wnErSVXK6#7Pdy%T4C#itrxaI*hXQSgl!f! zA#97Vt->aSZ4O3464##|V3@ zu*V5|ys#$-d!n!>345}zrwDthu%`)oy0B*md#12w346A%=LmbQu;&STzOWYvd!euw z2|GpDslrYZcDk?^3ww#MmkN8Cu$K#ag|Jr&dzG+P3ww>Q*9v=`u-6NFgRnOWdy}v? z3ww*Ow+efku(u0)hp=}FdzY|x3ww{S_X>NTuxVlM7d9j81Hz6A`=GE73Hz|Hj|lsy zu#XA*xUf$M`=qc>3H!9L&j|ahu+Itmys$3_`=YQf3H!3JuL%39u&)XGy0C8u`=+pO z3H!FN?+E*@u?C0q6LxW7mk@SIVV4qiXCNZDH3Dc3olD6Lx)JHxPD1VK)+XV_`QD zc2i+D6Lxc9w-9zqVYd=?Yhkw$c3WY$6Lx!HcMx_*VRsUCXJK~{c2{9{6LxoD_YihZ zVfPYtZ(;Wlc3)xl6Lx=L4-oc1VGk1aU||mtcCxUC3Y!x4FkufD_6T8*6!s`#j~4bA zVUHE|IAM<$_5@*16!s)xPZstRVNVtIG+|E{_6%Xq6!t7(&ldI^Vb2xzJYmll_5xuq z6!s!vrwBV$*lEH}7xrRdFA?@qVJ{Q*a$&C!_DW%|6836guMzfIVXqVRdSP!6_C{fE z682_cZxQxZVQ&-mc46-j_D*5%683Ik?-BN1Veb<*E$scmW`un}*l}SW6!sxu9~Slz zVILLtF<~DU_6cF16!s}$pBDBRVV@QDIboj{_61>I6!s-yUl#TiVP6&YHDO;D_6=d* z6!tA)-xl^AVc!+@Jz?J$_5)!*6!s%wKNj{AVLui2Ghsg$_6uRZ6!t4&zZUizVZRmj zJ7K>U_6K2q6!s@!e-`!^VSg3&H(`Gl_77qI6!tG+|BknF24N=%J5ks5^xrEIU zHe1*nVRMDe6ED}}8Rwp!R4VQYo06SiL124Ne8 zZ4$Ow*o3ex!nO*V6t+#+c40e&?G(04*luBagzXi!PuPB82ZS9Ic1YM^VMl}=6?RP6 zxrLoa*m;GWPuTf|T|n3cg@vbGE9`Q@ zE-&l~!mcRnO2V!z>?*>pD(q^)t}g5v!mcUoTEea^>^j1(E9`p0t}pBc!fq(+M#63^ z>?Xo)D(q&$ZZ7N=!fq+-R>E#A>^8z~E9`c{ZZGT(!tN;SPQvai>@LFYD(r5;?k?;e z!tN>TUc&Az>^{QoE9`#4?l0^C!X7B>LBbv^>>+V>@`Mn=gv5+LhC*UO$b|5(wCdBXknGnDCXF~kmp9%4MeCdBXknGnDCXF~kmp9%4M ze zCdBXknGnDCXF~kmp9%4MeCdBXknGnDCXF~kmp9%4MeCdBXknGnDCXF~kmp9%4MeCdBXk znGnDCXF~kmp9%4MeCdBXknGnDCXF~kmp9%4MeCdBXknGnDCXF~kmp9%4Me2n6QTndxWq@3VW2WM+@;Dg3wyD!mk4{Q zu$KvYxv*CVd!?{f3467$*9d#9u-6HDy|6b3d!w*73461!w+MTyu(t_&yRdf%d#A8> z346D&_XvBhu=fd@7WRH&Gr~R~?6|NG3j2_-4-5NPYe5u zu+IwnoUqRe`+~493j304hIUDys` zJB95Mwp-X9VS9z`6SiO20bvJ)9TIj}*b!kzg&h-iZeiyUc3xrU6Lx-K7Z7$qVHXm1 zVPO{$c2Qv`3A>oEiwnDiuuBTNl(0(+yNs~Q3cH-J%L}`Luqz6?lCUcayNa-@3cH%H zs|&k^uxkptmauCJyNkGSqup0`yk+2&JyNR%y3cH!Gn+v;zuv-edm9Se2 zyN$5h3cH=K+Y7scusaI7ldwAryNj^93cH)Iy9>LAuzL!-m#}*ayN|H@3cH`M`wM%3 zum=izkgx{}dx)@;g*{Z*l(2^hd$_Pi2z#WkM+tkhu*V2{tgy!kd%UnG2z#QiCkcD9 zu%`%ns<5XCd%Cb^2z#cmX9;_@u;&PSuCV6`d%mz22z#Nh7YRE>*r~!!6Lz|=7Ylod zu$KyZnXs1&dxfx93VW5XR||WMu-6KEov_yndxNky3VV~VHw$}B>;uA%3;Uq34+;CQu#X7)sIZR-`?#=A2>Yb4PYL_9 zu+Iqltgz1s`@FC(2>YV2FA4jyu&)UFs<5vK`?|1i2>Yh6ZwdRhuYS19|`-hu%8I~sj#03`?;`R2>Ye5UkUrQu-^##t+3w-`@OI~2>YY3KMDJ@u)hfV ztFXTb`@67z2>Yk7e+m0{yqy??ognN)VdoHbPGRQ~HcQxSVRMAd6*f=Ud|?ZOEfls$ z*kWNzge?`eOxSW^D}=2Swo2G)VQYk~6}C>;dSM%cZ4|ai*k)l9!nO$8Dr{2NHeuU^ z?GUz8*e+qah3yfxSJ*yb`-L44c2L+MVTXks5q4DAF=6Kxb{=8p6?Q&h=NEPXVHXs3 zAz>F5b`fD06?T%aiwV29uuBNLq_9f~yR@*&2)nGX%L%)@uqz0=qOdCoyRxvW2)nAV zs|mZhuxkjrrm$-XySA|F2)nMZ>j}HQup0=wp|BeXyRopF2)n7Un+dzQuv-YbrLbEG zyS1>}2)nJY+X=h9usaC5qp&*(yR)#n2)nDWy9v9yuzLu*r?7hoySK3W2)nPa`w6?h zum=cxps)uCd$6#F2s>HWLxoKVdzi3?3wwmHM+$qCuty7fjIhTFdz`Sx3wwgFCklI# zuqO+9im;~&dz!GP3wwsJX9|0kuxAT|DZT37ai!j!gdPVC2Y5_J;L@1+b3+lumi#l3Ogk1u&^V-jtV;_?A*f6Bka7w&L`~r!Y&}} zg2FB&?83q>BJ85VP7-!8VHX#631OEMb}3<(7Iqn7mlbw7VV4(n1z}edb|qm~7Iqb3 zR~2?OVOJM+4Pn<5b}eDo7IqzB*A;d>Vb>RS17SB5b|Yao7IqV1Hx+g>VK*0c3t_hu zb}M1G7Iqt9w-t6fVYe4{2Vr*VQ&`p7GZA{_BLT} z7xoTe?-cefVec099%1hl_C8_L!rm`zM%V|09T)aNVILCqVPPK;_EBLU6ZUaopAhy* zVV@HAXTiAJoombfTgq>g5 z1%zEt*oA~$SlC5`T~ydf!Y(H4;=(Q=?2^JRCG66|E+g!+!Y(K5^1`kl?25v!B<#w< zt|IKJ!mcLl>cXxe?3%)^CG6V5t|RQa!mcOm`oeA??1sW_B<#k*ZX)ca!fqz)=E80v z?3TiACG6J1ZX@ir!fq$*_QLKU?2f|jB<#+@?jr22!tN&Q?!xXN?4H8zCG6h9?j!8J z!tN*R{=yz0?191_B<#V$9uj9KPY5AQNX+GFhQdET zuZf8npVxopb;e^O=ihxP|L)`c$KxY@?;j8Gd;fTd-}}cy{N6tv;`jdX5Wn}2hxomJ zJjCz);~{?U9}n?+|9FVs`^Q84-aj7V_x|w^zxR)a_`QET#P9v%A%5>45Al2dc!=No z$3y(yKOW-u{_zmM_m7A8y?;E!@BQN;e(xU-@q7Pxh~N9iL;T)99^&`@@esfFkB9iZ ze>}wR{o^5i?;j8Gd;fU&U+vmw^oV62!13#E6(I^G36aX`kBUl4l)W0FLNZ&Dl8{2f z$}THKB{W58nbjqGhb9?mQ7JRzNvFAgRO^xhvsdhd@Rz4ynE-uq)n@BJ~P_x>2tdw&e+ zy+4NZ-XBAH?~ft9_s5Xl`(sG&{V}BX{ut7Ge+=opKZf+)A47WYk0HJH$B^FpV@U7) zF{JnY7}9%x4C%c;hVAgRO^xhvsdhd@R zz4ynE-uq)n@BJ~P_x>2tdw&e+y+4NZ-XBAH?~ft9_s5Xl`(sG&{V}BX{ut7Ge+=op zKZf+)A47WYk0HJH$B^FpV@U7)F{JnY7}9%x4C%c;hVAgRO^xhvsdhd@Rz4ynE-uq)n@BJ~P_x>0Xd;i~!zfan~0)N}SVEci6 z6zpSQ9|!vc*#2M#fE@^S5ZJ+Bp9DJu>`<`7zzzpH0_;ezqrg4|_Gz%A!Hxkt7VJ2% z_=cf1{=XH1p5it zMPL_$T>^F~*kxdsgIxi3CD>J9SA$&xb}iU-U_S-B9_$9N8^L}C_H(dbfc+BeS75&e zy9w-Ou-|~)0(L9dZD6;9{TA#Fu-}3G9_$Zbe+2sz*qvZ^f&CfmFJONKyBq9pV1Ea@ z2kc(3`@rr8djRY~uz!F(1okl4BVhjodom!R7&*7wpMk^MTC|_7t$Ef-L~HAlO1+PXl{8*ur4X09yp?nP7{8Jqv6xu*Jca z0DCsrl3+`LJqK)Qu;+q35A6A1%YZElwj9{77;F`= zRl!~Y_ENByfvpDiaur1>}_D%f^7%3 zJ=hLlJA&;5_I9v$fV~s!U10A9+ZpUVV7q|57i?Fs_kq11Y&WnEfb9_cFCgMAq6BVhZ0?F+Ua*hj%W2KI5VPk`+Yb^zFcU}0Snft><&D%fdY zUk3XM*jK^62KIHZZ-AW+_D!%ez|I8w7TCAJ&I0=m*muFc2ljoiAAp?=b`IFNVCR9I z4|W0A55ax}_G7RS>_V`gfL#Q3G1w(wmx5geb~)G;U{``&1$H&qHDK3*T?h74u=|H-fISmzQLtx$Ee5tY*b-pR23rzrDX`~&Ee-Zuu;+n2A8Z-0Wx5z+MivI@l|~UJ14a*sH+S1X~O2)nKmy zTN`X0uyw)K16v<#1F#LjHUiriY!k3e!CnjYIl@o50=-_7<=$ z!L|b18tkoL+km|dY+JDHz_th50c=OGoxt7>_71Rjg1rmu-C#R|y$5U;u=j%P3idv* z_k-;Q_5rZn!9EDK2iTrqdx3ojY;Uj+gM9>SAFzGF_5=GU*vG&=4)zJK{lN|ZI}q$3 zu!F%q33dqBp(_lw~9RqeO*l}RTgM9|<1hCJ7eGcqIu#><( z5B3GHFM^#6_9d`Wz)l4_4eZNcUjh3n*w?_m4)zVO)4{$8b_Up)VBZ4!HrQEU-vRqB z*!RG`5B3AFv%$^*I~VLcu=Bw#0Q(`>kHCHmHiBIU_7kv+z%B;61ng3<%fK!Ny8`S= zu&cnX2D=9ATCnTDehPLy*bQJeg8dBa=U~48`z6?~z{hVbz-|Zo zE!Z7kzXSU{*dM_D2=*tiJHhS(`!m>I!2Sw$H`w36{tk8z*u7x)f!z=G0N8_I{{VXk z>|wA+!2SvLXu8cBz-EBW0yZnyY+$p4%>niVuqT4e2{sqllfdQ%n+I%OuqT7f2R1+0 zQ^1}IwgA|IU<-jg4eaS)3xhoaY!R?$f-MU6EU?AE76)4b?Ac&Tf-MF19I&Oqo(uLo zu;+s<1GX&Ka$w7YtpN4{u$f>hf~^F$GS~~jUIg}HuvNfT1$znDOTk_Swi?*W!Bz)* z1=uUW)&P4I*qUH#fxQ~+HDGIltpm0$*m_{=gKYq|A=pM>8-r~EwkgJ-@w}EX7wjJ2^U^{^A2(}a0+ri!e_D-;O zfxR1SXR!Bx?E>~*uwB942ljrj-M~HowmaAd!S(>#6KpTA4}t9s_F=G(fb9deFW7!y z9|iju*vG*>0k%Kb0bmD$9RzkT*eAgb0Xr1zFtEeHjsQCn>?p8Lfqfe6Xs~0zjs-go z?0B%xfSmyLS+LK6od|Xk*yq8%0QN<&lfk|Ob_&?3V5fn78SE=yUj_Rb*w?|n0d_jr zH^I&TI}_|%VBZEi3+y{!-v#>~*!RJH0CqOmIbi34od_)Jkf&Cop7ht~x`xV%) z!EOS(8SFP;w}9OWb{p93V7~>s1MGKTzX$sR*dM|E1a>FbU0{C(`wQ4#!R`k88`$5$ z?g6_O>^`vj!5#p65bPgd4}m=l_6XQN!5&Sw*#g)Muvx%n1)B|QcCb0Xo&felusOlz z0(%nJ++g#7%?tKqu=&8|2YU+GQ^6JhTM%p^u&04N9c*E+XMimN_Drxv!JY-S7}(-q zOMpEaY)P=Cz@7uPG}v>&o(J}Puw}rO1zQemd9W40UH~=|Y(=n@z*Yu(A=rz+UJSMh z*s5SJ0edOf%fMCxdpX$ZV6OmsCD>V_K`FjGImz4yRdi&;n>rco-|OS+|Hdqj&*AtS Oj_-lvd*J_N5Bvw%Ax`E1 literal 0 HcmV?d00001 diff --git a/openocd/bscan_spi_xc7a35t_openocd_v0.11.bit b/openocd/bscan_spi_xc7a35t_openocd_v0.11.bit new file mode 100644 index 0000000000000000000000000000000000000000..be649f048b46dd08b9efd1ac3fc702551febda9a GIT binary patch literal 261513 zcmeI*37l+aS?BThyl3C{kd@TE2_fJ@!zF-Wt3W{9LpD)S0~i%lK!~^@wui+F21G#x zak)v@jHBZ$j-xWpJL5PD;)u&Ejyjta00u=$@-&WqHEyI%3ir{4DJJ74u#H$Hvs1<&2OJoVXM@Od}g z{G3~EdHSt4-}aoR-hSt+Uj5Qny!`1;SbO}FKm7^n#VUL9i%wj3*GpdcRZlqaB=r)N zE*c*9__fEaJxP6qiXZ>v>!0|<>rXsI-KpHay7<8}T$EBjUmsUDZm)L#yKno-*|=~` z&z$jUyjGjnYJKB+qiyqcxVXJ>U*EC0jx%$#dgdRjIcDqry5qk1qwiSTTtD8-F4{b2 zO`RIA{qcHnzfx7FZ4tD^(3U`33T+v*<O>&~_!X&7kcVv>k`GtDx;_XnPd2 zT?=iGfwpyM`wnOupzZb0_6BHsBecB<+P)LoPD0z8q3tcu_Fd3+H?+MK+TI3j-wkbV zhqmv5w(o_ucR<@aq3!#i?faqa2cYd;(DrU<`$1@X548OdwEggOo1>r&oOZxz2b^}m zX$PEkz-b4ZcED)|oOZxz2b^}mX$PEkz-b4ZcED)|oOZxz2b^}mX$PEkz-b4ZcED)| zoOZxz2b^}mX$PEkz-b4ZcED)|oOZxz2b^}mO$Xd`z)c6-bihpq+;qTA2i$bPO$Xd` zz)c6-bihpq+;qTA2i$bPO$Xd`z)c6-bihpq+;qTA2i$bPO$Xd`z)c6-bihrA-24%6 z^GBiW6tvv~ZTCXkeba3keAnQ+2H!RKuEBQ=zH9JZgYO!A*WkMb-!=HI!FLV5Yw%rz z?;3p9;JXIjHTbTf$tvp z?t$+f`0j!49{BEo?;iN>f$tvp?t$+f`0j!49{BEo?;iN>f$tvp?t$+f`0j&${1m8pOJp|uF@I3_IL-0KW-$U>{1m8pOJp|uF@I3_IL-0KW-$U>{ z1m8pOJp|uF@I3_IL-0KW-$U>{1m8pOJp|uF@I3_IL-0KW-$U>{1m8pOJp|uF@I3_I zL-0KW-$U>{1m8pOJp|uF@I3_IL-0KW-$U>{1m8pOJp|uF@I3_IL-0KW-$U>{1m8pO zJp|uF@I3_IL-0KW-$U>{1m8pOJp$h&@I3Jp$h&@I3Jp$h&@I3Jp$h&@I3Jp$h& z@I3Jp$h&@I3Jp$h&@I3Jp$h&@I3Jp$h&@I3JqF)n@I40K zWAHr&-(&DS2H#`wJqF)n@I40KWAHr&-(&DS2H#`wJqF)n@I40KWAHr&-(&DS2H#`w zJqF)n@I40KWAHr&-(&DS1~+4HGX^(fa5DxsV{kJDH)C)!1~+4HGX^(fa5DxsV{kJD zH)C)!1~+4HGX^(fa5DxsV{kJDH)C)!1~+4HGX^(fa5DxsV{kJDH)C)!1~+4HGX^(f za5Din6L2#DHxqC(0XGwHGXXafa5Din6L2#DHxqC(0XGwHGXXafa5Din6L2#DHxqC( z0XGwHGXXafa5Din6L2#DHxqC(0XGwHGl6k&0^{OjHvKav$uVd<4sBOK8~C1p?+N&x zfbR+To`CNO_@0383HY9X?+N&xfbR+To`CNO_@0383HY9X?+N&xfbR+To`CNO_@038 z3HY9X?+N&xfbR+To`CNO_@0383HY9X?+N&xfbS{zo`UZw_@09ADfpg(?JqO=&@I43LbMQR}-*fOi z2j6q>JqO=&@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR}-*fOi2j6q>JqO=&@I43L zbMQR}-*fOi2j6q>JqO=&@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR}-*fOi2j6q> zJqO=&@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR}-*fOi2j2_uy#U_}@Vx-v3-G-F z-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_} z@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`) z0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v z3-G-F-wW`)0N+dSy#(J&@Vx}zOYpq}-%Iel1m8>Wy#(J&@Vx}zOYpq}-%Iel1m8>W zy#(J&@Vx}zOYpq}-%Iel1m8>Wy#(J&@Vx}zOYpA*|4Q($1pi9#uLS=}@UH~_O7O1) z|4Q($1pi9#uLS=}@UH~_O7O1)|4Q($1pi9#uLS=}@UH~_O7O1)|4Q($1pi9#uLS=} z@UH~_O7O1)|4Q($1pmgr>pl5@Ta#t%6 z{*FQ0acH{=+Q9b;e6PUw3Vg4?_X>Qk!1oG#ufX>Te6PUw3Vg4?_X>Qk!1oG#ufX>T ze6PUw3Vg4?_X>Qk!1oG#ufX>Te6PUw3Vg4?_X>Qk!1oG#ufX>Te6PUw3Vg4@_Zob! z!S@<`ufg{ke6PXx8ho$8_Zob!!S@<`ufg{ke6PXx8ho$8_Zob!!S@<`ufg{ke6PXx z8ho$8_Zob!!S@<`ufe|>{Hwvg8vLulzZ(3j!M_^(tHHk-{Hwvg8vLulzZ(3j!M_^( ztHHk-{Hwvg8vLulzZ(3j!M_^(tHHk-{Hwvg8vLulzZ(3j!M_^(tHHk-{Hwvg8vJX( zzXtqkz`q9kYrww-{A<9!2K;NlzXtqkz`q9kYrww-{A<9!2K;NlzXtqkz`q9kYrww- z{A<9!2K;NlzXtqkz`q9kYhZla!1%U-@ofX++h#UB7Hy6}+i_^S3fjQ;27GV8_Xd1# z!1o4xZ@~8kd~d+_27GV8_Xd1#!1o4xZ@~8kd~d+_27GV8_Xd1#!1o4xZ@~8kd~d+_ z27GV8_Xd1#!M_&#Yr(%3{AJMg^&-#hTV1K&IF zy#wDn@Vx`yJMg^&-#hTV1K&IFy#wDn@Vx`yJMg^&-#hTV1K&IFy#wDn@Vx`yJMg^& z-#hTV1K&IFy#wDn@Vx`yJMg^&-#hTV1K&IFy#wDn@Vx`yJMg^&-#hTV1K&IFy#wDn z@Vx`yJMg^&-#hTV1K&IFy#wDn@Vx`yJMg^&-#hTV1K&IFy#wDn@Vx`yJMg^&-#hTV z1K&IFy#wDn@Vy7$d+@yn-+S=A2j6?}y$9cW@Vy7$d+@yn-+S=A2j6?}y$9cW@Vy7$ zd+@yn-+S=A2j6?}y$9cW@Vy7$d+@yn-+S=A2j6?}y$3gYaI*(DdvLP{H+yii2RD0g zvj;bOaI*(DdvLP{H+yii2RD0gvj;bOaI*(DdvLP{H+yii2RD0gvj;bOaI*(DdvLP{ zH+yii2RD0gvj;bOaI*(Ddvf!=(_`lTebDwnX#26{ZR?Iw%9)M-TT`>e?Q1q~&+nX% zcTT^|ProzXK0Nm?dENF?KRnNQ^BM1azH4e@f9cuSd^Z2aeIJbxyln3O{{4G=pFDat zZf#A?@7%FbeR%J?_iR1)L;M;xUV%P<_sIwL_C879GaH}M_&)jYR^Oh>t24gG_vgWb zXYbE;R$E#1!0sN{`s_Nhv1#L&JRXfUZ|^$Rj%%zg=eq~a`LR~3>2oDoO`j{#YWiG> zR@3K7w3cFb0J#ImVcia$3$8kgSO+)b``W;4Q-Etwrip7G0--B4oR!& zb4XfEpF`4W`W%v0)8~-1nm&i5)$}2pY0O`k*3YWf_KR@3K@w3A4r}OwYY&=M;E*547D2ZTC&L zY4BZx?;3p9;JXIjHTbTU%;JXXHyWqPEzPsSN3%U%;JXXHyWqPEzPsSN3%U%;JXXHyWqPEzPsR`3;wy_p9}uE;GYZrx!|7* z{<+|v3;wy_p9}uE;GYZrx!|7*{<+|v3;wy_p9}uE;GYZrx!|7*{<+|v3;wy_p9}uE z;GYZrx!|7*{<+|v3;wy_p9}tZ;GYNndElQ1{(0b^2mX2Bp9lVV;GYNndElQ1{(0b^ z2mX2Bp9lVV;GYNndElQ1{(0b^2mX2Bp9lVV;GYNndElQ1{&_II^f$tvp?t$+f`0j!49{BEo?;iN>f$tvp?t$+f`0j!49{BEo z?;iN>f$tvp?t$+f`0j!49{BEo?;iN>f$tvp?t_0m_~(OvKKSQ@e?Iu8ldkDUV;Cl$Zhv0h% zzK7s@2)>8ldkDUV;Cl$Zhv0h%zK7s@2)>8ldkDUV;Cl$Zhv0h%zK7s@2)>8ldkDUV z;Cl$Zhv0h%zK7s@2)>8ldkDUV;Cl$Zhv0h%zK7s@2)>8ldkDUV;Cl$Zhv0h%zK7s@ z2)>8ldkDUV;Cl$Zhv0h%zK7s@2)>8ldkDUV;Cl$Zhv0h%zK7s@2)>8ldkDUV;Cl$Z zhv0hzzDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$ zdj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz| zzDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m z;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8o!5zQ^Eu48F(UdkntE;Cl?d$KZPmzQ^Eu z48F(UdkntE;Cl?d$KZPmzQ^Eu48F(UdkntE;Cl?d$KZPmzQ^Eu48F(UdkntE;Cl>i z#^7cQZpPqd3~t8YW(;n|;ARYN#^7cQZpPqd3~t8YW(;n|;ARYN#^7cQZpPqd3~t8Y zW(;n|;ARYN#^7cQZpPqd3~t8YW(;n|;ARYN#^7cQZpPqd0&XVYW&&;|;AR4DCg5fQ zZYJPn0&XVYW&&;|;AR4DCg5fQZYJPn0&XVYW&&;|;AR4DCg5fQZYJPn0&XVYW&&;| z;AR4DCg5fQaNfJq6!W@I3|JQ}8_n-&62C1>aNfJq6!W@I3|J zQ}8_n-&62C1>aNfJq6!W@I3|JQ}8_n-&62C1>aNfJq6!W@I3|JQ}8_n-&62C1>aNf zJq6!W@I3|JQ}8_n-&62C1>aNfJq6!W@I3|JQ}8_n-&62C1>aNfJq6!W@I3|JQ}8_n z-&62C1>aNfJq6!W@I3|JQ}8_n-!pJC12;2pGXpm>a5DopGjKBlH#2ZE12;2pGXpm> za5DopGjKBlH#2ZE12;2pGXpm>a5DopGjKBlH#2ZE12;2pGXpm>a5DopGjKBlH#2ZE z12;2pGXpm>a5DopGjKBlH#2ZE12;2pGXpm>a5DopGjKBlH#2ZE12;2pGXpm>a5Dop zGjKBlH#2ZE12;2pGXpm>a5DopGjKBlH#2ZEBR64OoWZy_J2idID!T{T?uEAdrrUDx zJqO=&@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR} z-*fOi2j6q>JqO=&@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR}-*fOi2j6q>JqO=& z@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR}-*fOi z2j6q>JqO=&@I43LbMQR}-*fOi2j2_uy#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v z3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gn zy#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F z-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N+dSy#(J& z@Vx}zOYpq}-%Iel1m8>Wy#(J&@Vx}zOYpq}-%Iel1m8>Wy#(J&@Vx}zOYpq}-%Iel z1m8>Wy#(J&@Vx}zOYpA*|4Q($1pi9#uLS=}@UH~_O7O1)|4Q($1pi9#uLS=}@UH~_ zO7O1)|4Q($1pi9#uLS=}@UH~_O7O1)|4Q($1pi9#uLS=}@UH~_O7O1)|4Q($1pg}V zuLA!n@UH^@D)6rY|0?jW0{<%TuLA!n@UH^@D)6rY|0?jW0{<%TuLA!n@UH^@D)6rY z|0?jW0{<%TuLA!n@UH^@Dj45ZFutu|d|Sczwwg`PEmg;$?Kreu1#RGa1-@6{dj-B% z;CltWSKxaEzE|LT1-@6{dj-B%;CltWSKxaEzE|LT1-@6{dj-B%;CltWSKxaEzE|LT z1-@6{dj-B%;CltWSKxaEzE|LT1-@6{dj-DN;Cl_e*Wh~%zSrP;4ZhdldkwzV;Cl_e z*Wh~%zSrP;4ZhdldkwzV;Cl_e*Wh~%zSrP;4ZhdldkwzV;Cl_e*Wh~%zSrPi4gS^O zUk(1%;9m{?)!<(Z{?*`L4gS^OUk(1%;9m{?)!<(Z{?*`L4gS^OUk(1%;9m{?)!<(Z z{?*`L4gS^OUk(1%;9m{?)!<(Z{?*`L4gS^OUk&~>;9mp&HQ-+Z{x#rV1O7GOUjzO% z;9mp&HQ-+Z{x#rV1O7GOUjzO%;9mp&HQ-+Z{x#rV1O7GOUjzO%;9mp&HQ-+Z{xvYZ zZD4%c!1%U-@oh7k9*Z`|pzS!cT?K96djq~V;ClnUH{g2%zBk}|1HL!ldjq~V;ClnU zH{g2%zBk}|1HL!ldjq~V;ClnUH{g2%zBk}|1HL!ldjq~V;ClnUH{g2%zBk}|GvWKX zqm*)Hg z_nyQ58a7_R&V8_Bm#prdj~-Z4JC18}rDo$3SyS^n&&TswJtp_S*8bA7akaDgH-4v# zc*|R^&DHd{ajvGvjdL|U{+p}mvD#cskJaXCdR#SE)8nJLnjRm`)$|x>uBOL8b2U9C znXBnB$y`m3JLYP7957eYTuqN3=4yJ3Fjv!Kgt?j?Bh1zG7-6oa#|U#Z zJw}+T=`q4wO^*@gYI=+?SJPvJxtbm$%+>T5VXmgf2y-<(4w$RyalqV}K7TfM;5g{O zanNz6KS$2=`LnqL$4_Va{Mp=@K7TfM;JE9+ao3qXM>cn+&x6e!I9@x`=fURA^m(wk z1IKv>j`Pm+d9b+y1~_1VGkqRx?o6Ktn>*9z!RF5Nd9b+y<~U%EGkqRx?tn+m^m(wk zGkqRx?o6Ktn>)wg^8o%i;GY8?IpC249y#EV10Ffxku!ZBZ0>+n&h&Y(xdV5O=YVkz80Ua-4jAWvaSj;gfN>5O=YVkz80Ua-&h&Y(xdZ+=mF9&F~QxpN9W)AvBzz0h{wbejgJH8`!oO+7ugG}qv!1~)ahsi)_b<{C`ZV5$aF zHJGZwR1KzTFja%88cfw-ss>Xvn5w~44W?=^RfDM-Ox0kj22(Yds=-tZrfM)%gQ*%! z)nKXyH#OL(!A1=>YOqm*jT&s!V50^bHQ1=ZMh!Mrq4K`}9 zQG<;dY}8<*1{*clsKG`JHfpd@gN+(&biqa!Y;@geZ(SItx-d?4!CM!+b-`N~ymi4_ z7rb@BTNk`_!CM!+b-`N~ymi4_7rb@BTNk`_!CM!+b-`N~ymi4_7d&#oBNse!Uk~qj zu*!uoo(qn-;Ft@Jx!{-!j=A8N3y!(qmaMJ@fJ#faMJ@fJ#frJo3RKAI$N=93RZ_!4)4| z@xc`zT=BsbA6)Ul6(3yj!4)4|@xc`zT=BsbA6)Ul6(3yj!4)4|@xc`zT=BsbA6)Ul z6(3yjUq5Y?53cy&iVtHoA6)Ul6(3yj!4)4|@xc`zT=BsbA6)Ul6(3yj!4)4|@xc`z zT=BsbA6)Ul6(3yj$rTun`7j>yVLax;c+5WqpND&(4aQ^sebc!B+zi0YK*Kh{)BsEk zz|;Ut4MKP>;B5fozW^)_z~TTb4q*HjfYSju9e~pTI30k~0XQ9i(*ZagfYSju9e~pT zI30k~0XQ9i(*ZagfYSju9e~pTI30k~0XQ9i(*Zag4DcBP!viop0K)??Jb-ay0KNy{ zdjP%%;CleR2jF`Ez6aoY0KNy{djP%%;CleR2jF`Ez6aoY0KNy{djP%%;CleR2jF`E zz6aoY0KNy{djP%%;Cl$Zhv0h%zK7s@2)>8ldkDUV;Cl$Zhv0h%zK7s@2)>8ldkDUV z;Cl$Zhv0h%zK7s@2)>8ldkDUV;Cl$Zhv0h%zK7s@2)>8ldkDUV;Cl$Zhv0h%zK7s@ z2)>8ldkDUV;Cl$Zhv0h%zK7s@2)>8ldkDUV;Cl$Zhv0h%zK7s@2)>8ldkDUV;Cl$Z zhv0h%zK7s@2)>8ldkDUV;Cl$Zhv0h%zK7s@2)>8ldkDUV;ClqVN8oz|zDMAD1inY$ zdj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz| zzDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m z;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD1inY$dj!5m;ClqVN8oz|zDMAD z1inY$dj!5m;Cl?l#b8bhKE&Wd49EExj`J}bcVjs2#&CQ|;5$kJ-zO62vjhxJz?=lk zN#M07@Y)k_C4u970>}9Tj`Il|=M&lwaGX!zIG@0AK7r$W0>}9Tj`Il|=My;2Cvcok z;5eVaaXx|Ld;-V$1dj6w9On}_&L?o3PvAJ8z;Ql-<9q_g`2>#h2^{AWIL;?oQaDDYFm_5|?3BXTDFv%i7$2oDK1yMH zl!AXL_?N=?D24G+3T~$0W(wn@6vjs>Se1fRDOi<)RVj>*QgAGt!Ta+Vv>k`GtDp_s zOu@|*+)TmE6x>X~%@o{B!OawGOu@z!Y)rw%6l_ev#uSW8!MGHROToAlj7!0|6zs_0 zn4G~eIn&ec&kT;&nFl#Ix0b zJqO=&@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR} z-*fOi2j6q>JqO=&@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR}-*fOi2j6q>JqO=& z@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR}-*fOi2j6q>JqO=&@I43LbMQR}-*fOi z2j6q>JqO=&@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v z3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gn zy#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx-v3-G-F z-wW`)0N)Gny#U_}@Vx-v3-G-F-wW`)0N)Gny#U_}@Vx}zOYpq}-%Iel1m8>Wy#(J& z@Vx}zOYpq}-%Iel1m8>Wy#(J&@Vx}zOYpq}-%Iel1m8>Wy#(J&@Vx}zOYpq}-%Iel z1pi9#uLS=}@UH~_O7O1)|4Q($1pi9#uLS=}@UH~_O7O1)|4Q($1pi9#uLS=}@UH~_ zO7O1)|4Q($1pi9#uLS=}@UH~_O7O1)|4Q($1pi9#uLS=}@UH^@D)6rY|0?jW0{<%T zuLA!n@UH^@D)6rY|0?jW0{<%TuLA!n@UH^@D)6rY|0?jW0{<%TuLA!n@UH^@D)6rY z|0?jWg7IwyUkm=V;9m>=wcuY1{ zUkm=V;9m>=wcuY1{Ukm=V;9m>=wcuY1{Ukm=V;9m>=wcuY1 z{Ukm=V;9m>=wcuY1{Ukm=V;9m>=wcuY1{Ukm=V z;9m>=wcuY1{Ukm=V;9m>=wcuY1{J^0>(?>+e5gYP}~ z-h=Nw_}+u>J^0>(?>+e5gYP}~-h=Nw_}+u>J^0>(?>+e5gYP}~-h=Nw_}+u>J^0>( z?>)HLgPT3L*@K%sxY>i7J-FF}n?1PMgPT3L*@K%sxY>i7J-FF}n?1PMgPT3L*@K%s zxY>i7J-FF}n?1PMgPT3L*@K%sxY>i7J-FF}n?1PMgPT3L*^`^^ogOpy?}N4vLfelm zZ(DbiQqFAr-D$_0dNw}7+58*FPtlp4 z)Ycek;#}OW?f9$0c*YiHwAK3d-m zuKf86doS>_TYcH!{yE>ROFlyv&BiBnw7wf$(H~?#@3%wyy1j$!2z;yq+$S@9BCE#p z(F5Z?+1K~=j_n=5`HG33b=h^k;_~sS9kK5u<;~+uI$W21eX|{|mwwou?UL^#kDQG! zV*F0>=ZEdJOg~?}KHawOE&Kg7e80E1?=RSHg9o@z=626;GPU2^n|z>yZaBbwvd>>h z#-2K&>Ky1k8M|?PpWk%*y}f;YdY|N*TjKvUv$0PW=OpGk`sVQ74_>zYQV!rXILf(k z`{BkPSAF;P6-&l2X9{!>GdU2n&w+OB-=eY-#9M|478=uqB`k9w29)F}bs!>mNQRoj0}7eg6^l#U5E*F4P`a@)>&1Y<#ju>N7NpfBHi0|JCz4{5`PyGvq|G z@ns#Y@xYb$9r8W(&|Js49}hSazpG;Bcm9p>{?R(ts``&E@b}4*bNNdaUEnX`?4I5g z`~IyC9{(JUdMaj_gw9AH=K>Vb+kUa#fAR;?5KZG_v7<-!ER&s7)QTx z>oatO>U{IH1Kk1V@MwDg$J&YCcee9b>u!8kJ6gwD_k53WmJC>yEIQCP^XkF&s|R); zYuzLGedWA=hL(IES+Z!qcIs+72igO>KSSOTbSOX9F1vPCLgw2&py6NZ1=!yys`NA z@XPweU-<9^t9u9A1J3rt&9`qTmHhH2ZtuD^+qGo1U_X0ceQRU0@p}9Ac=O_)9RD|Q znJ<<%zj{6wbPp`CrdzzFj@IADQuwzs$Je`f$*aqO_CWjc&4*iZ`f}^Hb06r_Sv}a1 z>VYN4wToxtD?Hri&=#-Y^vfmJoqliU?b+(MwzEqP;Ql4Qz4;&$$F*^%tS$%L1JyO+ zM_im1U7f)ZcjIXra8J8!fAbFe=qh=1dtizG>$CCY9&Z14?yqdu5ozNL*+|dM>tsG= z$K~7CJKG~Y{z`+TWbJ&+)qU;(=c1kM*KGbc$NJ9e4;(+WSatmav-QR9*wucG`u&ae z#s5|pNe^85nM=~^OO~w`9as-sX7MwbYJOl(Z}mWjx(Al{|Hj$)ipE+qb(6|pcBo(6 z!=C(Q&w1G0z1^AZZMD@}c0CZ>xFk1UvTXBvnzyXw^kp00)=porx_$ON@QX_#i*LMJ z-+ITZ`Su#)jc9xM_Ogdo-CBQp`Rdxf2QGW!RCe}>hGC=dXnp(xkmJAcYw~>Yf2D4i zZ(N`GXwa*c;nF{xpt1qs@cU`2WR?%{$`(ZELlOZLK~-6CJaBaroNh z%Qu#;Z?|oq3|K7KT6kJNuW#)U-Z9(TT3w&12QL5mrE6?-@A#)`H?O_L4Xu(v>0x7a zXQQD~_dxJyj&6P&s?G0P+aDL@jQ1Cd-mnoKZ$0#>Su9;$ zWId4o{&arv*%&YDdgD{F&|`i4V62b--vnfFy>Z#T-nzT%>Y+Wht7WxdNe@)Nv1H+5 zXDoKd`sPjR84L7PA7uOr8L@F3>FP4wjiz~I* zNsE7P@Vtk-Su9^&YEv=ktwY)p$K_cD%W`J6>kvKkY28=8K&)UTytb+*XVA z#;Y3^w^tWQ4_x-+OVZo#_r>+Xij8YetuOjrdDqSsk;TVlv3xfE+rI3)zrB2QeO5h? zym$BN(biynd(f^n{vNARcQ_k=v`QJ_VSa-cwaqVoqUT{rxu^2)kW3=;qUFr zkD#dNrtzEA_~u_+dz;tVQ@0Mu12?UYdn&s4*2QwAqmM4)$JIEiw7BSG^LpdX>VK9! z5d7iJ;MmnOt#4j@^P1^JUwhu{*6~`edAF+S1vjZDdh55|bW@}~@Ah$DIO7WACT?=Z z(=6kTTJ4ja{dxBHAF;6d;g^%et+&p`Ps!cM?YAD+PVnaBc0FEaci(^QxVKIgCm*Zy zEOWe*I`ymxnT*X@tJ*$LiSyyo=l;zNJ^ z+U#jx{Ab07Uj92@_DwI0gS(5@sK?&?9p1^}H}$p8uitd{+g_vZzj?J!c6R7l-@oFT zoso@wa(ru_oOWG&=qF$I`X~IwZ@uk9&$##YyIvSyH0~39{MVm9?vs1H+4FC>=tU-n-~ac5dNW>g`??s^ia6Keh9^8<$@* zE`Q=gyI1*(@#E?u>w(KYcW1sDNAcrFLhrg>TfaC|>Y_@Wymo2(R&M9^R`=ySaNYH8 zcH3X8Yp)iI4p5A8+5^xxLl>v+aRr!S6zT__iXo zsApW9172OuZ4Xp?{(A@4s2dkg<;~~z`K-2nlzL!ItuM|KIkWM9Ym3Q)dE#!~o_}~; zbR;fX#Xox_UdWMp5y`WcyqwMQh9wOb@}jNJke-cC@@)R?{cmO_*|_d5Y?pj?{l()S zslWHP?*8fcl6GEp^~KH=R`*vuu$LZK@)-(eWAJGGe#UxPSDfiHbf)%Y+gvT%a}Qkl zZOd03&!#T9%+>mmrK?4&9$4N3OO9*Xf8*qF%M*uj>19tpn`b>co0V7VTJ}J?^>ZA1 zi!VRg?Jc`n>yxhsmK@iL+1L$h>N&@bPH&`V^>+eJt-c!^z^=b^quy-y1I>0X8kcMx z*AC_RjHNH=!dy7cknZ{7?fD1d_Poi}io1JYy3Tgjy6d}^tQMS;9!SUHr@dZQ!~VVY zlx)4~@n?~rZG&jpM2_8~bAG=cyjKdHd-6Je5+HJ$Zb2 zd%8F$^#Ysuo>pG1wCaJe2bO$>X0wgu^E*EoJLtr1i?4R~U$Au`Tl?!={`}ijd;Iyg zW3#cRj@Hlaj4x=9m+^fvRt#Ub?~^^gj6<+m`pmsP$#=Z(fd^-+eX`f?TCF$sz;6H2 zjbrPP`ng_p*{yUwRn!#qQ^*cQ0Bkx!`(W z$#^)hR(H-%59~Uwtt&MfpUTlXk9dCi_FO#bxjc`!*zfdjxfc#OST@VJi>WUmoqA1%mUE66@ZkbSHm`zeC#rwX#4CdhueAp1B$c1@6dyde7o zLH2|o`$R$ZIzjeHg6xw8*{2AypCQOzFUUSskbRmU`*cC}8G`IH1=+bEdxIeREJ60! zg6xfg>~jR!=L)i)Dabxgko_z{_Ok`q&k?$i7IBeX$_>5<&JW z1lc92zesM_9q0{pA=;OrXc%Mg6!WCWdF7x`*#G{zbnZ8v>^NU z1lgYvWdFV(`?G@V&k3?WFUWpKko^aO>@Nti|4@)Mg6uyMWdE@s`-_6?KM`bqNs#@g zg6uyNWdFG!`!5994-2xtEXaODko^@w_FoFJzbeRnRFM5OLH5@L+20Uke^ZeCSAy)n z7G(d8Ap36x+20am|D7QF+k))x2(rH`$o_jl_CE-+|51?rPlD`!7G!@>~x)D+Sq^AbU)ZJub*zCCFYa$UaJty+)9Iv>Dna(E1=*JhvM&>4zebS#T0!>f1lgAh zvab+iUn$6by&(H4LH5;x>|KKFHwdz?5oEtnko_h>_L~LSZxLj_RgitHAp32C?CS*C zZ@0_t_}gv6>i2z*zn=Pny`1;o_dN)H-}j*G=lcg`Ki@wn`}zJs+0XY6%6`6oQ1L1FpzP=S2W3Cs zKPdb8{z2K#_YcZ`zJE~m^ZkRepYI=({e1tR?C1LjWk26PDEs;TLD|pu56XVNe^B=G z{e!Zf?;n)?eE*>A=lcg`Ki@wn`}zJs+0XY6%6`6oQ1L1FpzP=S2W3CsKPdb8{z2K#_YcZ`zJE~m z^ZkRepYI=({e1tR?C1LjWk26PDEs;TLD|pu56XVNe^B=G{e!Zf?;n)?eE*>A=lcg` zKi@wn`}zJs+0XY6%6`6oQ1L1FpzP=S2W3CsKPdb8{z2K#_YcZ`zJE~m^ZkRepYI=({e1tR?C1Lj zWk26PDEs;TLD|pu56XVNe^B=G{e!Zf?;n)?eE*>A=lcg`Ki@wn`}zJs+0XY6%6`6o zQ1L1FpzP=S z2W3CsKPdb8{z2K#_YcZ`zJE~m^ZkRepYI=({e1tR?C1LjWk26PDEs;TLD|pu56XVN ze^8e5{WC`mYTfQ<1vawZA;=Db?CS;DHwdzC6lC8d$bP3Fds2{nvmpBxLH4@@*}DbV zw+gav6J)k$o`-p`yN5|hXmOl z7G!@!ko{3X_LLxdk05)mAbX!6`(8ozeS+-!1=$Y>vL6&=e@u|QUy%KALH2J5vOgin z{-hxLHwD?B5@i3DAp5rk*}o&m{#`-#rv=%+C&>PcAp7?P*`F0;e@>A7c|rC=g6uyK zWPd@B{fC0A5oG_7Ap4I6*`OM>h_6=eUJAp6e+*?%F(eprzGWkL2Mg6yvd zvj0+${Z&Esqk`vmpC>g6!`LvVS1R{ue>^4+Ysj5@i3YAp740+5axc{trR+ ze+sgHEXe*ZLG}Sb_J0eqe%EP#ulF{}zTVp?`+9Gq?CZUavak0x%D&#)DEoSEqwMRwjk2%zHp;%< z+bH{bZ=>w%y^XT3_cqGD-rFeqdT*ob>%EP#ulF{}zTVp?`+9Gq?CZUavak0x%D&#) zDEoSEqwMRwjk2%zHp;%<+bH{bZ=>w%y^XT3_cqGD-rFeqdT*ob>%EP#ulF{}zTVp? z`+9Gq?CZUavak0x%D&#)DEoSEqwMRwjk2%zHp;%<+bH{bZ=>w%y^XT3_cqGD-rFeq zdT*ob>%EP#ulF{}zTVp?`+9Gq?CZUavak0x%D&#)DEoSEqwMRwjk2%zHp;%<+bH{b zZ=>w%y^XT3_cqGD-rFeqdT*ob>%EP#ulF{}zTVp?`+9Gq?CZUavak0x%D&#)DEoSE zqwMRwjk2%zHp;%<+bH{bZ=>w%y^XT3_cqGD-rFeqdT*ob>%EP#ulF{}zTVp?`+9Gq z?CZUavak0x%D&#)DEoSEqwMRwjk2%zHp;%<+bH{bZ=>w%y^XT3_cqGD-rFeqdT*ob z>%EP#ulF{}zTVp?`+9Gq?CZUavak0x%D&#)DEoSEqwMRwjk2%zHp;%<+bH{bZ=>w% zy^XT3_cqGD-rFeqdT*ob>%EP#ulF{}zTVp?`+9Gq?CZUavak0x%D&#)DEoSEqwMRw zjk2%zHp;%<+bH{bZ=>w%y^XT3_cqG1-h2F=-NsRNwc;CDN08NmtSiWRf~+sd27+uT z$VP%}EXXE;Y%0iRf^06x7J_Uk$X0@EEyy;4Y%9oif^09yUL?pqLXf>!kiA5Zy;P9B zOpv`?ki9~XeWW0Jr64;KWRD55#|7D|1lg+v*+&Vo*9fwY7G$p#WFI5QK30(Z6hZb= z1=&v%WItVyeVib>CdfWskbQz6dqR+Xq9A*nAp0ai_Q`_mQv})15M-|xWS=U?K24B) zx*+=uLH3z~>|Bt&L6CixAp2}V_C`VWIfCqS1=-IOWS=LLUCCGlYAp255_GN0$i7;Ty-Se&20`{Ug6ua6vfm`gezPF^ErRT~3bL;iWWP<2eVrit z?RHt^yzi&q{G{3VyQCNYwtk;XCh0zCF`^;bLk2N)O z++SE+n{i+H&YC*4b*-Ei-4wrnd!KD(^6mSp>kDIFuJ*%fKdjyZtM|ZRc@O-5gp^jR literal 0 HcmV?d00001 diff --git a/openocd/flash-arty b/openocd/flash-arty index 558b929..5cb534d 100755 --- a/openocd/flash-arty +++ b/openocd/flash-arty @@ -20,6 +20,15 @@ def flash(config, flash_proxy, address, data, filetype="", set_qe=False): print(script) subprocess.call(["openocd", "-f", config, "-c", script]) +def get_version(): + a = subprocess.run(["openocd", "-v"], capture_output=True) + if a.returncode != 0: + return "" + if a.stderr.count(b"0.10"): + return "" + if a.stderr.count(b"0.11"): + return "_openocd_v0.11" + parser = argparse.ArgumentParser() parser.add_argument("file", help="file to write to flash") parser.add_argument("-a", "--address", help="offset in flash", type=lambda x: int(x,0), default=0) @@ -27,12 +36,14 @@ parser.add_argument("-f", "--fpga", help="a35, a100 or a200", default="a35") parser.add_argument("-t", "--filetype", help="file type such as 'bin'", default="") args = parser.parse_args() +version = get_version() + if args.fpga.lower() == "a35": - proxy = "bscan_spi_xc7a35t.bit" + proxy = "bscan_spi_xc7a35t{}.bit".format(version) elif args.fpga.lower() == "a100": - proxy = "bscan_spi_xc7a100t.bit" + proxy = "bscan_spi_xc7a100t{}.bit".format(version) elif args.fpga.lower() == "a200": - proxy = "bscan_spi_xc7a200t.bit" + proxy = "bscan_spi_xc7a200t{}.bit".format(version) else: print("error: specify a35, a100 or a200 when flashing") sys.exit() diff --git a/openocd/xilinx-xc7.cfg b/openocd/xilinx-xc7.cfg index 5359d4f..8824ccf 100644 --- a/openocd/xilinx-xc7.cfg +++ b/openocd/xilinx-xc7.cfg @@ -51,10 +51,10 @@ proc jtagspi_program {bin addr {type ""} } { global _FLASHNAME if { $type eq "" } { flash write_image erase $bin $addr - flash verify_bank $_FLASHNAME $bin $addr + flash verify_image $bin $addr } else { flash write_image erase $bin $addr $type - flash verify_bank $_FLASHNAME $bin $addr $type + flash verify_image $bin $addr $type } } # end jtagspi.cfg