From 2db89628ab9e19d9fd78438e01bc8a732e08415e Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Mon, 22 Mar 2021 10:55:53 +1100 Subject: [PATCH] Reformat control Signed-off-by: Anton Blanchard --- control.vhdl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/control.vhdl b/control.vhdl index 34c35e2..589ad96 100644 --- a/control.vhdl +++ b/control.vhdl @@ -17,7 +17,7 @@ entity control is valid_in : in std_ulogic; repeated : in std_ulogic; flush_in : in std_ulogic; - busy_in : in std_ulogic; + busy_in : in std_ulogic; deferred : in std_ulogic; sgl_pipe_in : in std_ulogic; stop_mark_in : in std_ulogic;