diff --git a/execute2.vhdl b/execute2.vhdl index c7cf61e..7942274 100644 --- a/execute2.vhdl +++ b/execute2.vhdl @@ -52,6 +52,6 @@ begin rin <= v; -- Update outputs - e_out <= v; + e_out <= r; end process; end; diff --git a/loadstore1.vhdl b/loadstore1.vhdl index f35b64d..b04ce20 100644 --- a/loadstore1.vhdl +++ b/loadstore1.vhdl @@ -53,6 +53,6 @@ begin rin <= v; -- Update outputs - l_out <= v; + l_out <= r; end process; end;