From 95442cd62cc349573cd4985d0b36545b01ea1336 Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Wed, 11 Sep 2019 22:39:30 +1000 Subject: [PATCH 1/2] Fix issue in execute2 We weren't using the register in this stage. Fixes: c7aa683ba8aa ("Register outputs on execute2") Signed-off-by: Anton Blanchard --- execute2.vhdl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/execute2.vhdl b/execute2.vhdl index c7cf61e..7942274 100644 --- a/execute2.vhdl +++ b/execute2.vhdl @@ -52,6 +52,6 @@ begin rin <= v; -- Update outputs - e_out <= v; + e_out <= r; end process; end; From 7caf71ba711f27c4ebb80eeb027181c0cc73d78d Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Wed, 11 Sep 2019 22:40:53 +1000 Subject: [PATCH 2/2] Fix issue in loadstore1 We weren't using the register in this stage. Fixes: 819f8200905f ("Register outputs on loadstore1") Signed-off-by: Anton Blanchard --- loadstore1.vhdl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/loadstore1.vhdl b/loadstore1.vhdl index f35b64d..b04ce20 100644 --- a/loadstore1.vhdl +++ b/loadstore1.vhdl @@ -53,6 +53,6 @@ begin rin <= v; -- Update outputs - l_out <= v; + l_out <= r; end process; end;