diff --git a/loadstore1.vhdl b/loadstore1.vhdl index ee4507b..3328617 100644 --- a/loadstore1.vhdl +++ b/loadstore1.vhdl @@ -275,6 +275,8 @@ begin r2.wait_dc <= '0'; r2.wait_mmu <= '0'; r2.one_cycle <= '0'; + r3.dar <= (others => '0'); + r3.dsisr <= (others => '0'); r3.state <= IDLE; r3.write_enable <= '0'; r3.interrupt <= '0';