diff --git a/dcache.vhdl b/dcache.vhdl index 550298b..7d61a85 100644 --- a/dcache.vhdl +++ b/dcache.vhdl @@ -597,7 +597,8 @@ begin if reloading and wishbone_in.ack = '1' and r1.store_way = i then do_write <= '1'; end if; - if req_op = OP_STORE_HIT and req_hit_way = i and cancel_store = '0' then + if req_op = OP_STORE_HIT and req_hit_way = i and cancel_store = '0' and + r1.req.dcbz = '0' then assert not reloading report "Store hit while in state:" & state_t'image(r1.state) severity FAILURE;