From 50a361a5dc1127db92a2d7290bd41caae2c3103f Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Sun, 15 Sep 2019 09:04:47 +1000 Subject: [PATCH] Exit if we try to write more than one GPR or CR in a cycle Signed-off-by: Anton Blanchard --- writeback.vhdl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/writeback.vhdl b/writeback.vhdl index d37c4b1..3e72c04 100644 --- a/writeback.vhdl +++ b/writeback.vhdl @@ -52,12 +52,12 @@ begin x := "" & e_in.valid; y := "" & l_in.valid; z := "" & m_in.valid; - assert (to_integer(unsigned(x)) + to_integer(unsigned(y)) + to_integer(unsigned(z))) <= 1; + assert (to_integer(unsigned(x)) + to_integer(unsigned(y)) + to_integer(unsigned(z))) <= 1 severity failure; x := "" & e_in.write_enable; y := "" & l_in.write_enable; z := "" & m_in.write_reg_enable; - assert (to_integer(unsigned(x)) + to_integer(unsigned(y)) + to_integer(unsigned(z))) <= 1; + assert (to_integer(unsigned(x)) + to_integer(unsigned(y)) + to_integer(unsigned(z))) <= 1 severity failure; assert not(e_in.write_cr_enable = '1' and m_in.write_cr_enable = '1');