From 601f3211be1b87118dbedd29d295a6e0e76daec4 Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Mon, 22 Mar 2021 10:08:29 +1100 Subject: [PATCH] Reformat divider Signed-off-by: Anton Blanchard --- divider.vhdl | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/divider.vhdl b/divider.vhdl index aef65a4..a8bcdbe 100644 --- a/divider.vhdl +++ b/divider.vhdl @@ -123,9 +123,9 @@ begin divider_out: process(clk) begin if rising_edge(clk) then - d_out.valid <= '0'; + d_out.valid <= '0'; d_out.write_reg_data <= oresult; - d_out.overflow <= did_ovf; + d_out.overflow <= did_ovf; if count = "1000000" then d_out.valid <= '1'; end if;