diff --git a/fpu.vhdl b/fpu.vhdl index cb71a4c..3552ebd 100644 --- a/fpu.vhdl +++ b/fpu.vhdl @@ -16,7 +16,7 @@ entity fpu is clk : in std_ulogic; rst : in std_ulogic; - e_in : in Execute1toFPUType; + e_in : in Execute1ToFPUType; e_out : out FPUToExecute1Type; w_out : out FPUToWritebackType