diff --git a/common.vhdl b/common.vhdl index d7252f6..8f30d95 100644 --- a/common.vhdl +++ b/common.vhdl @@ -14,7 +14,6 @@ package common is type Fetch1ToFetch2Type is record nia: std_ulogic_vector(63 downto 0); - pipe_stop : std_ulogic; end record; type Fetch2ToDecode1Type is record