diff --git a/loadstore1.vhdl b/loadstore1.vhdl index f35b64d..b04ce20 100644 --- a/loadstore1.vhdl +++ b/loadstore1.vhdl @@ -53,6 +53,6 @@ begin rin <= v; -- Update outputs - l_out <= v; + l_out <= r; end process; end;