diff --git a/asic/top-asic.vhdl b/asic/top-asic.vhdl index 55711f4..c0a5488 100644 --- a/asic/top-asic.vhdl +++ b/asic/top-asic.vhdl @@ -12,7 +12,7 @@ entity toplevel is RESET_LOW : boolean := true; CLK_INPUT : positive := 100000000; CLK_FREQUENCY : positive := 100000000; - HAS_FPU : boolean := true; + HAS_FPU : boolean := false; HAS_BTC : boolean := false; NO_BRAM : boolean := false; DISABLE_FLATTEN_CORE : boolean := false;