From d52046104f645e3690c3bc220cdeb33ea22e97f1 Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Sun, 15 Sep 2019 10:25:57 +1000 Subject: [PATCH] Add a default value for RESET_ADDRESS Signed-off-by: Anton Blanchard --- fetch1.vhdl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/fetch1.vhdl b/fetch1.vhdl index 14ef8e8..ff7d64a 100644 --- a/fetch1.vhdl +++ b/fetch1.vhdl @@ -7,7 +7,7 @@ use work.common.all; entity fetch1 is generic( - RESET_ADDRESS : std_logic_vector(63 downto 0) + RESET_ADDRESS : std_logic_vector(63 downto 0) := (others => '0') ); port( clk : in std_ulogic;