diff --git a/fetch1.vhdl b/fetch1.vhdl index 29ff71f..643e8c8 100644 --- a/fetch1.vhdl +++ b/fetch1.vhdl @@ -49,19 +49,18 @@ begin if stall_in = '0' then v.nia := r_int.nia_next; - v_int.nia_next := std_logic_vector(unsigned(r_int.nia_next) + 4); end if; if e_in.redirect = '1' then v.nia := e_in.redirect_nia; - v_int.nia_next := std_logic_vector(unsigned(e_in.redirect_nia) + 4); end if; if rst = '1' then v.nia := RESET_ADDRESS; - v_int.nia_next := std_logic_vector(unsigned(RESET_ADDRESS) + 4); end if; + v_int.nia_next := std_logic_vector(unsigned(v.nia) + 4); + -- Update registers rin <= v; rin_int <= v_int;