From 95b9f19882f8323de8b009994617456abc895da3 Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Tue, 27 Aug 2019 22:12:33 +1000 Subject: [PATCH] Fix ghdl build error with pp_soc_memory Signed-off-by: Anton Blanchard --- fpga/pp_soc_memory.vhd | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/fpga/pp_soc_memory.vhd b/fpga/pp_soc_memory.vhd index bdb1882..de39ec8 100644 --- a/fpga/pp_soc_memory.vhd +++ b/fpga/pp_soc_memory.vhd @@ -66,7 +66,7 @@ begin wb_ack_out <= read_ack and wb_stb_in; - process(clk) + memory_0: process(clk) begin if rising_edge(clk) then if reset = '1' then @@ -102,6 +102,6 @@ begin end if; end if; end if; - end process clk; + end process; end architecture behaviour;