diff --git a/Makefile b/Makefile index 9f308ba..30086a7 100644 --- a/Makefile +++ b/Makefile @@ -157,11 +157,11 @@ ICACHE_NUM_LINES=4 # OrangeCrab with ECP85 ifeq ($(FPGA_TARGET), ORANGE-CRAB) RESET_LOW=true -CLK_INPUT=50000000 -CLK_FREQUENCY=40000000 +CLK_INPUT=48000000 +CLK_FREQUENCY=48000000 LPF=constraints/orange-crab.lpf PACKAGE=CSFBGA285 -NEXTPNR_FLAGS=--um5g-85k --freq 40 +NEXTPNR_FLAGS=--um5g-85k --freq 48 OPENOCD_JTAG_CONFIG=openocd/olimex-arm-usb-tiny-h.cfg OPENOCD_DEVICE_CONFIG=openocd/LFE5UM5G-85F.cfg endif