From a5fa92f71b9f78cd76e68fd201f9fc3a543bf861 Mon Sep 17 00:00:00 2001 From: Benjamin Herrenschmidt Date: Fri, 26 Jun 2020 23:34:14 +1000 Subject: [PATCH] fpga: nexys-video: Wire up core_alt_reset It looks like we left it dangling Signed-off-by: Benjamin Herrenschmidt --- fpga/top-nexys-video.vhdl | 1 + 1 file changed, 1 insertion(+) diff --git a/fpga/top-nexys-video.vhdl b/fpga/top-nexys-video.vhdl index 3e559ba..5395ff6 100644 --- a/fpga/top-nexys-video.vhdl +++ b/fpga/top-nexys-video.vhdl @@ -264,6 +264,7 @@ begin rst => pll_rst, system_clk => system_clk, system_reset => soc_rst, + core_alt_reset => core_alt_reset, pll_locked => system_clk_locked, wb_in => wb_dram_in,