diff --git a/Makefile b/Makefile index ea8fa26..4ef104c 100644 --- a/Makefile +++ b/Makefile @@ -183,6 +183,7 @@ OPENOCD_JTAG_CONFIG=openocd/olimex-arm-usb-tiny-h.cfg OPENOCD_DEVICE_CONFIG=openocd/LFE5U-85F.cfg toplevel=fpga/top-orangecrab0.2.vhdl litedram_target=orangecrab-85-0.2 +soc_extra_v += litesdcard/generated/lattice/litesdcard_core.v endif # ECP5-EVN diff --git a/fpga/top-orangecrab0.2.vhdl b/fpga/top-orangecrab0.2.vhdl index c3cd332..98c75c4 100644 --- a/fpga/top-orangecrab0.2.vhdl +++ b/fpga/top-orangecrab0.2.vhdl @@ -22,8 +22,8 @@ entity toplevel is SPI_FLASH_DEF_QUAD : boolean := true; LOG_LENGTH : natural := 0; UART_IS_16550 : boolean := true; - HAS_UART1 : boolean := true; - USE_LITESDCARD : boolean := false; + HAS_UART1 : boolean := false; + USE_LITESDCARD : boolean := true; ICACHE_NUM_LINES : natural := 64; NGPIO : natural := 0 ); @@ -47,7 +47,7 @@ entity toplevel is spi_flash_wp_n : inout std_ulogic; spi_flash_hold_n : inout std_ulogic; - -- SD card + -- SD card wires sdcard_data : inout std_ulogic_vector(3 downto 0); sdcard_cmd : inout std_ulogic; sdcard_clk : out std_ulogic;