diff --git a/register_file.vhdl b/register_file.vhdl index 2caa4b5..6a4c989 100644 --- a/register_file.vhdl +++ b/register_file.vhdl @@ -92,4 +92,9 @@ begin end process; end generate; + -- Keep GHDL synthesis happy + sim_dump_test_synth: if not SIM generate + sim_dump_done <= '0'; + end generate; + end architecture behaviour;