From b0212b0bf9e01ceab71b625430ef651099aa14ac Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Sat, 11 Jan 2020 14:29:39 +1100 Subject: [PATCH] Fix ghdlsynth issue in register file We need to drive sim_dump_done to keep ghdlsynth happy. Signed-off-by: Anton Blanchard --- register_file.vhdl | 5 +++++ 1 file changed, 5 insertions(+) diff --git a/register_file.vhdl b/register_file.vhdl index 2caa4b5..6a4c989 100644 --- a/register_file.vhdl +++ b/register_file.vhdl @@ -92,4 +92,9 @@ begin end process; end generate; + -- Keep GHDL synthesis happy + sim_dump_test_synth: if not SIM generate + sim_dump_done <= '0'; + end generate; + end architecture behaviour;