From d05737833ef492e325c12e48e0458e7bb81df0c6 Mon Sep 17 00:00:00 2001 From: umarcor Date: Mon, 30 Nov 2020 22:07:57 +0100 Subject: [PATCH 1/2] makefile: whitespace cleanup Signed-off-by: umarcor --- Makefile | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Makefile b/Makefile index 9fe2106..bd6c070 100644 --- a/Makefile +++ b/Makefile @@ -96,10 +96,10 @@ flash_model_files=sim_no_flash.vhdl fmf_lib= endif -$(soc_flash_tbs): %: $(soc_sim_files) $(soc_sim_obj_files) $(unisim_lib) $(fmf_lib) $(flash_model_files) %.vhdl +$(soc_flash_tbs): %: $(soc_sim_files) $(soc_sim_obj_files) $(unisim_lib) $(fmf_lib) $(flash_model_files) %.vhdl $(GHDL) -c $(GHDLFLAGS) $(soc_sim_link) $(soc_sim_files) $(flash_model_files) $@.vhdl $(unisim_files) -e $@ -$(soc_tbs): %: $(soc_sim_files) $(soc_sim_obj_files) $(unisim_lib) %.vhdl +$(soc_tbs): %: $(soc_sim_files) $(soc_sim_obj_files) $(unisim_lib) %.vhdl $(GHDL) -c $(GHDLFLAGS) $(soc_sim_link) $(soc_sim_files) $@.vhdl -e $@ $(core_tbs): %: $(core_files) glibc_random.vhdl glibc_random_helpers.vhdl %.vhdl @@ -132,7 +132,7 @@ soc_dram_sim_obj_files = $(soc_sim_obj_files) sim_litedram_c.o dram_link_files=-Wl,obj_dir/Vlitedram_core__ALL.a -Wl,obj_dir/verilated.o -Wl,obj_dir/verilated_vcd_c.o -Wl,-lstdc++ soc_dram_sim_link=$(patsubst %,-Wl$(comma)%,$(soc_dram_sim_obj_files)) $(dram_link_files) -$(soc_dram_tbs): %: $(soc_dram_files) $(soc_dram_sim_files) $(soc_dram_sim_obj_files) $(flash_model_files) $(unisim_lib) $(fmf_lib) %.vhdl +$(soc_dram_tbs): %: $(soc_dram_files) $(soc_dram_sim_files) $(soc_dram_sim_obj_files) $(flash_model_files) $(unisim_lib) $(fmf_lib) %.vhdl $(GHDL) -c $(GHDLFLAGS) $(soc_dram_sim_link) $(soc_dram_files) $(soc_dram_sim_files) $(flash_model_files) $@.vhdl -e $@ endif From de808d7a6aceccc196401de19d863b98a7671e73 Mon Sep 17 00:00:00 2001 From: umarcor Date: Thu, 26 Nov 2020 06:14:55 +0100 Subject: [PATCH 2/2] makefile: update synthesis containers Signed-off-by: umarcor --- Makefile | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/Makefile b/Makefile index bd6c070..4684dc1 100644 --- a/Makefile +++ b/Makefile @@ -30,10 +30,10 @@ DOCKERARGS = run --rm -v $(PWD):/src:z -w /src GHDL = $(DOCKERBIN) $(DOCKERARGS) ghdl/ghdl:buster-llvm-7 ghdl CC = $(DOCKERBIN) $(DOCKERARGS) ghdl/ghdl:buster-llvm-7 gcc GHDLSYNTH = ghdl -YOSYS = $(DOCKERBIN) $(DOCKERARGS) ghdl/synth:beta yosys -NEXTPNR = $(DOCKERBIN) $(DOCKERARGS) ghdl/synth:nextpnr-ecp5 nextpnr-ecp5 -ECPPACK = $(DOCKERBIN) $(DOCKERARGS) ghdl/synth:trellis ecppack -OPENOCD = $(DOCKERBIN) $(DOCKERARGS) --device /dev/bus/usb ghdl/synth:prog openocd +YOSYS = $(DOCKERBIN) $(DOCKERARGS) hdlc/ghdl:yosys yosys +NEXTPNR = $(DOCKERBIN) $(DOCKERARGS) hdlc/nextpnr:ecp5 nextpnr-ecp5 +ECPPACK = $(DOCKERBIN) $(DOCKERARGS) hdlc/prjtrellis ecppack +OPENOCD = $(DOCKERBIN) $(DOCKERARGS) --device /dev/bus/usb hdlc/prog openocd endif all = core_tb icache_tb dcache_tb multiply_tb dmi_dtm_tb divider_tb \