From bf96279ff14e9e162e21c7c63f68795441de808f Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Mon, 22 Mar 2021 10:07:47 +1100 Subject: [PATCH] Reformat countzero Signed-off-by: Anton Blanchard --- countzero.vhdl | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/countzero.vhdl b/countzero.vhdl index b46f108..55a58b1 100644 --- a/countzero.vhdl +++ b/countzero.vhdl @@ -8,11 +8,11 @@ use work.helpers.all; entity zero_counter is port ( clk : in std_logic; - rs : in std_ulogic_vector(63 downto 0); - count_right : in std_ulogic; - is_32bit : in std_ulogic; - result : out std_ulogic_vector(63 downto 0) - ); + rs : in std_ulogic_vector(63 downto 0); + count_right : in std_ulogic; + is_32bit : in std_ulogic; + result : out std_ulogic_vector(63 downto 0) + ); end entity zero_counter; architecture behaviour of zero_counter is