diff --git a/common.vhdl b/common.vhdl index 14a8801..55b36db 100644 --- a/common.vhdl +++ b/common.vhdl @@ -8,7 +8,7 @@ use work.decode_types.all; package common is -- Processor Version Number - constant PVR_MICROWATT : std_ulogic_vector(31 downto 0) := x"00630000"; + constant PVR_MICROWATT : std_ulogic_vector(31 downto 0) := x"00630101"; -- MSR bit numbers constant MSR_SF : integer := (63 - 0); -- Sixty-Four bit mode