diff --git a/decode2.vhdl b/decode2.vhdl index e70405a..15dae5d 100644 --- a/decode2.vhdl +++ b/decode2.vhdl @@ -188,6 +188,8 @@ begin decode2_0: process(clk) begin if rising_edge(clk) then + assert r_int.outstanding <= 1 report "Outstanding bad " & integer'image(r_int.outstanding) severity failure; + if rin.e.valid = '1' or rin.l.valid = '1' or rin.m.valid = '1' then report "execute " & to_hstring(rin.e.nia); end if; @@ -385,8 +387,6 @@ begin v_int.outstanding := v_int.outstanding + 1; end if; - assert r_int.outstanding <= 1 report "Outstanding bad " & integer'image(r_int.outstanding) severity failure; - if rst = '1' then v_int.state := IDLE; v_int.outstanding := 0;